scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2017"


Journal ArticleDOI
TL;DR: This work demonstrates the use of a large area, photolithographic method for 3D metal printing, using an optically-addressable light valve (OALV) as the photomask, to print entire layers of metal powder at once.
Abstract: Selective Laser Melting (SLM) of metal powder bed layers, whereby 3D metal objects can be printed from a digital file with unprecedented design flexibility, is spurring manufacturing innovations in medical, automotive, aerospace and textile industries. Because SLM is based on raster-scanning a laser beam over each layer, the process is relatively slow compared to most traditional manufacturing methods (hours to days), thus limiting wider spread use. Here we demonstrate the use of a large area, photolithographic method for 3D metal printing, using an optically-addressable light valve (OALV) as the photomask, to print entire layers of metal powder at once. An optical sheet of multiplexed ~5 kW, 20 ms laser diode and ~1 MW, 7 ns Q-switched laser pulses are used to selectively melt each layer. The patterning of near infrared light is accomplished by imaging 470 nm light onto the transmissive OALV, which consists of polarization-selective nematic liquid crystal sandwiched between a photoconductor and transparent conductor for switching.

55 citations


Journal ArticleDOI
TL;DR: In this article, an anamorphic step and scan system capable of printing fields that are half the field size of the current full field is presented. But the system is not suitable for high-NA scanners.
Abstract: Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

36 citations


Journal ArticleDOI
Zhu Yanqing1, Shi Jifu1, Huang Qizhang1, Wang Leilei1, Xu Gang1 
TL;DR: A novel and facile approach to produce TiO2-based superhydrophobic-superhydrophilic patterns by UV or solar irradiation without a photomask is presented.

33 citations


Journal ArticleDOI
Abstract: We demonstrate a grayscale photolithography technique which uses a thin phase-change film as a photomask to locally control the exposure dose and allows three-dimensional (3D) sculpting photoresist for the manufacture of 3D structures Unlike traditional photomasks, the transmission of the phase-change material photomask can be set to an arbitrary gray level with submicron lateral resolution, and the mask pattern can be optically reconfigured on demand, by inducing a refractive-index-changing phase-transition with femtosecond laser pulses We show a spiral phase plate and a phase-type super-oscillatory lens fabricated on Si wafers to demonstrate the range of applications that can be addressed with this technique

24 citations


Journal ArticleDOI
14 Sep 2017-ACS Nano
TL;DR: The prospects of maskless photolithography technologies with a focus on two-photon lithography and scanning-probe-based photochemical processes based on scanning near-field optical microscopy or beam pen lithography are discussed.
Abstract: In photolithographic processes, the light inducing the photochemical reactions is confined to a small volume, which enables direct writing of micro- and nanoscale features onto solid surfaces without the need of a predefined photomask. The direct writing process can be used to generate topographic patterns through photopolymerization or photo-cross-linking or can be employed to use light to generate chemical patterns on the surface with high spatial control, which would make such processes attractive for bioapplications. The prospects of maskless photolithography technologies with a focus on two-photon lithography and scanning-probe-based photochemical processes based on scanning near-field optical microscopy or beam pen lithography are discussed.

24 citations


Journal ArticleDOI
Jae-Beom Kim1, Ki-Hun Jeong1
TL;DR: This method provides a new route for developing compact functional fiber-optic applications such as laser scanning, biosensing, or laser endomicroscopy.
Abstract: We report a facile and direct fabrication method for integrating functional optical microstructures on the top surface of an optical fiber. A programmable maskless fabrication system was developed by using digital micromirror device (DMD), which allows rapid prototyping and low-cost fabrication without physical photomask. This maskless UV exposure system has the spatial resolution of 2.2 μm for an exposed area of 245 μm x 185 μm. Diverse optical microstructures were photolithographically defined on multimode fibers and a single mode optical fiber serially spliced with a coreless silica fiber segment. This method provides a new route for developing compact functional fiber-optic applications such as laser scanning, biosensing, or laser endomicroscopy.

18 citations


Proceedings ArticleDOI
16 Oct 2017
TL;DR: Some of the manufacturing challenges for curvilinear masks are considered and the ideal continuous phase and transmission mask that theoretically would have the best imaging performance is considered.
Abstract: To achieve the ultimate resolution and process control from an optical (193i 1.35NA) scanner system, it is desirable to be able to exploit both source and mask degrees of freedom to create the imaging conditions for any given set of patterns that comprise a photomask. For the source it has been possible to create an illumination system that allows for almost no restrictions in the location and intensity of source points in the illumination plane [1]. For the mask, it has been harder to approach the ideal continuous phase and transmission mask that theoretically would have the best imaging performance. Mask blanks and processing requirements have limited us to binary (1 and 0 amplitude, or 1 and -0.25 amplitude (6% attenuated PSM)) or Alternating PSM (1, 0 and -1 amplitude) solutions. Furthermore, mask writing (and OPC algorithms) have limited us to Manhattan layouts for full chip logic solutions. Recent developments in the areas of mask design and newly developed Multi-Beam Mask Writers (MBMW) have removed the mask limitation to Manhattan geometries [2]. In this paper we consider some of the manufacturing challenges for these curvilinear masks.

14 citations


Journal ArticleDOI
TL;DR: Various ways to structure vapor-deposited polymer thin films are described and an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.
Abstract: Vapor-deposition processes and the resulting thin polymer films provide consistent coatings that decouple the underlying substrate surface properties and can be applied for surface modification regardless of the substrate material and geometry. Here, various ways to structure these vapor-deposited polymer thin films are described. Well-established and available photolithography and soft lithography techniques are widely performed for the creation of surface patterns and microstructures on coated substrates. However, because of the requirements for applying a photomask or an elastomeric stamp, these techniques are mostly limited to flat substrates. Attempts are also conducted to produce patterned structures on non-flat surfaces with various maskless methods such as light-directed patterning and direct-writing approaches. The limitations for patterning on non-flat surfaces are resolution and cost. With the requirement of chemical control and/or precise accessibility to the linkage with functional molecules, chemically and topographically defined interfaces have recently attracted considerable attention. The multifunctional, gradient, and/or synergistic activities of using such interfaces are also discussed. Finally, an emerging discovery of selective deposition of polymer coatings and the bottom-up patterning approach by using the selective deposition technology is demonstrated.

13 citations


Journal ArticleDOI
TL;DR: This paper presents the extension of the existing mask aligner illumination system by replacing the mercury vapor lamp with a solid-state laser, and shows versatile results like simple binary patterns of squares and triangles, as well as a more complex lateral shape like a blazed grating.
Abstract: Mask aligner lithography is a well-established back-end fabrication process in microlithography. Within the last few years, resolution enhancement techniques have been transferred and adapted from projection lithography to further develop mask aligner lithography, especially concerning achievable resolution. Nonetheless, current technology using a mercury vapor lamp as a light source has reached its limits, e.g. for high-resolution pattering. Within this paper, we present the extension of the existing mask aligner illumination system by replacing the mercury vapor lamp with a solid-state laser. Full-field mask aligner lithography is guaranteed by a rotating diffuser expanding the laser beam and minimizing undesired speckle effects. An additional integrated galvanometer scanner allows a flexible choice of arbitrary angular spectrum distributions of the photomask illumination. We show versatile results like simple binary patterns of squares and triangles, as well as a more complex lateral shape like a blazed grating.

12 citations


Journal ArticleDOI
TL;DR: In this article, a self-aligned patterning process compatible with directional coating processes for manufacturing printed electronic devices was proposed, where a hydrophobic self-assembled monolayer (SAM) is formed on a substrate surface and defined at a specific area by irradiation of 172 nm UV light for 3 min through a photomask.
Abstract: In the production of printed electronic devices, a reliable, high resolution, and cost-effective patterning method is highly required Here, we report a facile self-aligned patterning process compatible with directional coating processes for manufacturing printed electronic devices For the self-aligned and high resolution patterning, a hydrophobic self-assembled monolayer (SAM) is formed on a substrate surface and defined at a specific area by irradiation of 172 nm UV light (9–10 mW cm−2) for 3 min through a photomask A functional hydrophilic ink is coated on the pre-patterned SAM surface by a wire bar-coating process Using this process, the ink is automatically patterned down to theoretically 2 μm resolution on the bare surface without a hydrophobic SAM by completely dewetting the ink from the SAM surface We demonstrate high performance metal oxide thin-film transistors (TFTs) with a patterned sol–gel processed indium gallium zinc oxide (IGZO) film by a single bar coating process The IGZO TFTs show a reasonably high electron mobility of 1278 cm2 V−1 s−1 with silicon dioxide gate dielectrics and a standard deviation of 2184% in a 4-inch substrate scale device array

12 citations


Journal ArticleDOI
TL;DR: In this article, a solution-based one-step fabrication method of a high-grade photomask, without the use of a photoresist, vacuum deposition, and etching process is proposed.

Journal ArticleDOI
TL;DR: A level-set based optimization framework for mask synthesis with a vector imaging model is developed, and pronounced performance in terms of pattern fidelity and edge placement error is demonstrated, together with notable computation acceleration and better convergence performance.
Abstract: With continuous shrinking of critical dimension (CD) and the application of immersion lithography system to technology nodes 22nm and beyond, the vector nature of electromagnetic fields propagating from mask to wafer plane cannot be ignored, rendering mask synthesis under scalar imaging model inadequate. In this paper, we develop a level-set based optimization framework for mask synthesis with a vector imaging model. The forward model of vector image formation is established, and then the photomask synthesis is addressed as an inverse imaging problem whose variational level-set reformulation is represented by a stable time-dependent model, which is solved by employing conjugate gradient methods of the cost function and readily available finite-difference schemes. Experimental results demonstrate pronounced performance in terms of pattern fidelity and edge placement error, together with notable computation acceleration and better convergence performance.

Book ChapterDOI
01 Jan 2017
TL;DR: In this article, a mask or photomask is imaged onto a flat substrate surface coated with a thin layer of polymer material called photoresist, causing polymer chain scission or cross-linking.
Abstract: Since the planar processing for integrated circuits (IC) manufacturing started nearly half century ago, optical lithography, or often called photolithography, has become the convenient choice of making planar microstructures. In optical lithography, a mask or photomask, also called reticle, is imaged onto a flat substrate surface coated with a thin layer of polymer material called photoresist. The photon energy is focused into the photoresist, causing polymer chain scission or cross-linking. The mask pattern is then delineated into the photoresist after development.

Journal ArticleDOI
TL;DR: In this article, a design method for low-aberration aspherical micro-lens arrays (MLAs) that satisfy the Rayleigh standard using computational lithography is presented.
Abstract: Optical lithography is often applied to fabricate three-dimensional (3D) patterns because of its capability on the scale of optical wavelengths. An aligner, a laser writer, and a stepper with an optical projection system are used as the exposure tool. The focusing capability must enable exposure of step heights of ≥50 μm, which is normally achieved using an optical projection system with a large focal depth. Recently, a projection lens was developed that can produce a variable step height using an adjustable numerical aperture, which has led to the fabrication of micro-lens arrays (MLAs) with step heights as high as 100 μm. These MLAs are used in various fields but mostly only as condensing lenses. However, wider applications await the realization of optical aberration reduction. The introduction of aspherical lenses would be a major step in this direction; however, aspherical lenses are difficult to produce because of the limitations in processing and polishing the aspherical surfaces of optical elements made of glass. Alternatively, the fabrication of 3D patterns using optical lithography involves a photomask whose optical density distribution corresponds to the 3D profile after exposure and development. A density distribution corresponding to the desired 3D shape can be achieved using a computer to control the dot density of a microdot mask pattern. In this study, we present a design method for low-aberration aspherical MLAs that satisfies the Rayleigh standard using computational lithography.

Journal ArticleDOI
TL;DR: In this paper, a method based on the superposition of periodic structures obtained by multiple exposure of a photomask with Displacement Talbot Lithography is introduced, in which the photOMask is rotated by a certain angle between exposures.

Book ChapterDOI
01 Jan 2017
TL;DR: In this article, a critical review is presented on the wide spectrum of cleaning techniques and strategies that are adopted for the removal of particulate contaminants and organics from the photomask.
Abstract: In semiconductor fabrication industries, photomask cleaning with no pattern damage is a critical issue, especially for advanced technology nodes. Thus, optimization of cleaning techniques adopted for photomask cleaning or development of new cleaning technique is always of great interest to meet process requirements. In this book chapter, the sources of contaminants on photomask and their impact on imprinted images are first discussed. Then a critical review is presented on the wide spectrum of cleaning techniques and strategies that are adopted for the removal of particulate contaminants and organics from the photomask. The applicability of each technique and its limitations are also examined. Because extreme ultraviolet lithography (EUVL) is considered to be a next-generation lithography, a separate section is devoted to EUVL mask-cleaning techniques.

Patent
03 Nov 2017
TL;DR: In this article, a manufacturing method of an array substrate is described, which comprises the following steps: depositing a first metal layer on a substrate, and patterning the second metal layer by using a first photomask to form a gate and a common electrode that are insulated from each other, where the vertical projection of the pixel electrode on the substrate does not intersect with the common electrode.
Abstract: The invention discloses a manufacturing method of an array substrate. The method comprises the following steps: depositing a first metal layer on a substrate, and patterning the first metal layer by using a first photomask to form a gate and a common electrode that are insulated from each other; depositing a gate insulation layer on the substrate, wherein the gate insulation layer covers the gate and the common electrode; sequentially depositing a semiconductor layer and a second metal layer on the gate insulation layer, patterning the semiconductor layer to form an active layer, and patterning the second metal layer by using a second photomask to form a source electrode, a drain electrode and a pixel electrode, wherein the vertical projection of the pixel electrode on the substrate does not intersect with the common electrode. The invention also discloses the array substrate and a liquid crystal panel. A photomask exposure step of separately forming the common electrode and the pixel electrode is omitted, and thus the primary photomask exposure step can be reduced, the manufacturing process of the array substrate of an IPS mode liquid crystal panel can be simplified, and the manufacturing cost of the array substrate and a liquid crystal display can be reduced.

Journal ArticleDOI
TL;DR: It is shown that it is possible to control the residual layer thickness and thus the curved shape of the microchannel cross-sectional profile and eventually the focal length of the micromirror, by simply adjusting the UV exposure dose and the distance of the proximity gap (to the photomask).
Abstract: This work describes the fabrication of concave micromirrors to improve the sensitivity of fluorescence imaging, for instance, in single cell analysis. A new approach to fabrication of tunable round (concave) cross-sectional shaped microchannels out of the inorganic-organic hybrid polymer, Ormocomp®, via single step optical lithography was developed and validated. The concave micromirrors were implemented by depositing and patterning thin films of aluminum on top of the concave microchannels. The round cross-sectional shape was due to residual layer formation, which is inherent to Ormocomp® upon UV exposure in the proximity mode. We show that it is possible to control the residual layer thickness and thus the curved shape of the microchannel cross-sectional profile and eventually the focal length of the micromirror, by simply adjusting the UV exposure dose and the distance of the proximity gap (to the photomask). In general, an increase in the exposure dose or in the distance of the proximity gap results i...

Journal ArticleDOI
TL;DR: In this article, the authors report the nanofabrication and characterization of x-ray transmission gratings with a high aspect ratio and a feature size of down to 65nm.
Abstract: We report the nanofabrication and characterization of x-ray transmission gratings with a high aspect ratio and a feature size of down to 65 nm. Two nanofabrication methods, the combination of electron beam and optical lithography and the combination of electron beam, x-ray, and optical lithography, are presented in detail. In the former approach, the proximity effect of electron beam lithography based on a thin membrane of low-z material was investigated, and the x-ray transmission gratings with a line density of up to 6666 lines/mm were demonstrated. In the latter approach, which is suitable for low volume production, we investigated the x-ray mask pattern correction during the electron beam lithography process and the diffraction effect between the mask and wafer during the x-ray lithography process, and we demonstrated the precise control ability of line width and vertical side-wall profile. A large number of x-ray transmission gratings with a line density of 5000 lines/mm and Au absorber thickness of up to 580 nm were fabricated. The optical characterization results of the fabricated x-ray transmission gratings were given, suggesting that these two reliable approaches also promote the development of x-ray diffractive optical elements.

Patent
08 Sep 2017
TL;DR: In this article, a photomask consisting of a light transmitting region, a light shielding region, and a semi-light transmitting region is proposed, where the light transmittance is regulated by the permeation and distribution density of a low-light-reflecting material.
Abstract: The invention discloses a photomask and a manufacturing method for an active switch array substrate. The photomask comprises a light-transmitting region, a light-shielding region, and a semi-light-transmitting region which is arranged between the light-transmitting region and the light-shielding region, wherein the light transmittance of the photomask is regulated by permeation and distribution density of a low-light-reflecting material, so that the light transmittance of the semi-light-transmitting region is lower than that of the light-transmitting region and higher than that of the light-shielding region; and therefore, white-light photoresist and a photo spacer can adopt the same material, and pixel aperture ratio is improved.

Patent
15 Feb 2017
TL;DR: In this article, a method for manufacturing an OLED mask plate, a mask master plate is obtained through an existing mask plate manufacturing method to serve as a medium, and combined with an electroforming technology, a large number of OLED mask plates having good practical application effects can be manufactured.
Abstract: The invention discloses a method for manufacturing an OLED mask plate, a mask master plate is obtained through an existing mask plate manufacturing method to serve as a medium, and combined with an electroforming technology, a large number of OLED mask plates having good practical application effects can be manufactured, thus a new method is provided for the manufacture of the OLED mask plates, and production cost is reduced. In addition, in the manufacturing process of the OLED mask plates in the invention, neither an exposure machine nor photomask is needed, and production equipment and production technology can be simplified, thereby further reducing production cost, and achieving good practical application effects.

Proceedings ArticleDOI
01 May 2017
TL;DR: In this article, the limitations of variable shaped electron beam lithography (EBL) as flexible high-resolution pattern generators for the fabrication of high quality photomasks required for many applications in sensors and microsystems development, including packaging applications.
Abstract: This paper reports on the study of limitations of variable shaped electron beam lithography (EBL) as flexible high-resolution pattern generators for the fabrication of high quality photomasks required for many applications in sensors and microsystems development, including packaging applications. For this purpose, the case of 50×50 nm2 electron beam shape at 40 keV electron energy and a high-resolution e-beam resist Hydrogen Silsesquioxane (HSQ) were utilized. Various process parameters, which determine the accuracy of the resist profiles and the size of structures, such as solubility rates, dependences of the linewidth on the exposure dose, lithographic resolution, etching capabilities of HSQ and their influence on the limitations of variable shaped EBL, are studied.

Patent
05 Sep 2017
TL;DR: In this paper, a pattern structure of a photomask for a patterned sapphire substrate (PPS) and an exposure method are disclosed, which is formed by stitching a plurality of identical polygons each including at least two sector-shaped opaque areas (7) and one transparent area (2).
Abstract: A pattern structure of a photomask for a patterned sapphire substrate (PPS) and an exposure method are disclosed. The pattern structure is formed by stitching a plurality of identical polygons each including at least two sector-shaped opaque areas (7) and one transparent area (2). The polygons are joined together by stitching the sector-shaped opaque areas (7) into round opaque areas (1). Boundary areas of the photomask that are unable to accommodate a complete one of the polygons are configured as opaque areas (1). This pattern structure ensures that the round opaque areas (1) near the frames will not be affected by lighting conditions. During the exposure of another identical PSS photomask pattern, it only needs to superimpose it with the first photomask pattern at their frames to allow the part other than the frame to be exposed. In this way, the photomask pattern and exposure method solves the problem of blurred pattern image edges arising from excessively narrow gaps between photomask frames and opaque areas (1).

Patent
19 Sep 2017
TL;DR: In this article, an interval unit and photomasks of a display panel and a manufacturing method of the display panel are discussed. But the method is based on the multi-hue first photomask, and at least two exposure units are arranged on the first photOMask; a plurality of test interval units are formed through exposure of the first photo, and production data is recorded; the second photo is used for producing the display display panel is manufactured on the basis of the production data.
Abstract: The invention discloses an interval unit and photomasks of a display panel and a manufacturing method of the display panel. A manufacturing method of the interval unit of the display panel comprises the steps that the multi-hue first photomask is arranged, and at least two exposure units are arranged on the first photomask; a plurality of test interval units are formed through exposure of the first photomask, and production data is recorded; the second photomask used for producing the display panel is manufactured on the basis of the production data; the second photomask is used for manufacturing the interval unit of the display panel, wherein the production data comprises exposure energy, the penetration rate of the exposure units, the opening size the exposure units and/or the size of the interval unit. At least two exposure units are arranged on the first photomask, the test interval units are formed through exposure of the first photomask, multiple groups of production data can be conveniently obtained through one time or many times of exposure before the display panel is produced, production parameters do not need to be adjusted repeatedly in the actual production process, the production parameters can be adjusted at a time according to the production data, production time can be effectively shortened, and the test cost can be reduced.

Proceedings ArticleDOI
13 Jul 2017
TL;DR: In this paper, the performance of measuring cross-sectional profiles using small-angle X-ray scattering (GISAXS) has been investigated for measuring 3D profiles of hole patterns.
Abstract: Nanoimprint lithography (NIL) is one of the highest potential candidates for next generation lithography in semiconductors. NIL is very useful technology for pattern fabrication in high resolution compared to conventional optical lithography. NIL technology makes use of replication from quartz templates. The cross-sectional profile of the template is directly transferred to the resist profile on a wafer. Accordingly, the management of the cross-sectional profile on the template pattern is much more important than on each photomask. In our previous report, we had studied the performance of measuring cross-sectional profiles using grazing-incidence small-angle X-ray scattering (GISAXS). GISAXS has made it possible to analyze the repeated nanostructure patterns with a 2D X-ray scattering pattern. After various researches, we found the application is very effective in the method of cross-sectional profiling of sub-20 nm half-pitch lines-and-spaces (LS) patterns. In this report, we investigated the capabilities of measuring cross-sectional profiles for hole patterns using GISAXS. Since the pattern density of hole patterns is much lower than that of LS patterns, the intensity of X-ray scattering in hole measurements is much lower. We optimized some measurement conditions to build the hole measurement system. Finally, the results suggested that 3D profile measurement of hole pattern using GISAXS has sufficient performance to manage the cross-sectional profile of template. The measurement system using GISAXS for measuring 3D profiles establishes the cross-sectional profile management essential for the production of high quality quartz hole templates.

Patent
14 Jul 2017
TL;DR: In this article, the photomask card holder cleaning device comprises an adsorption part and an exposure machine, which is used for cleaning the exchange cavity of the card holder.
Abstract: The invention relates to a photomask card holder cleaning device and an exposure machine, relates to the technical field of liquid crystal display devices and is used for solving the technical problems that the cleaning efficiency is low and the cleaning degree is not high in the prior art. The photomask card holder cleaning device comprises an adsorption part, wherein the adsorption part is fixed to the exposure machine and is used for containing a photomask exchange cavity of a photomask card holder. When a machine loading or unloading action is performed, the adsorption part can quickly absorb foreign matters on the photomask card holder, so that cleaning efficiency can be improved by the adsorption part; furthermore, for the process that the photomask card holder moves from an opening of the photomask exchange cavity to completely enter the photomask exchange cavity, with the movement of the photomask card holder, the adsorption part performs scanning adsorption, so that the photomask card holder can be thoroughly cleaned, and the quality of a product is guaranteed.

Proceedings ArticleDOI
Yuan Hsu1, Hong-Jen Lee1
13 Jul 2017
TL;DR: In this paper, the authors introduce a very distinctive crosstalk defect between develop to etch process, which only in the presence of photoresist, developer, etching species and interaction will produce.
Abstract: As technical advances continue, the pattern size of semiconductor circuit has been shrunk. Defect control becomes tighter due to decrease in defect size that affects the image printed on the wafer. It is critical to the photomask which contained considerably shrunk circuit and ultra high density pattern for sub – 20nm tech device. Therefore particle source from all processes should be controlled extremely. Most of defects generated in mask fabrication processes have been mainly created during each unit process. A different formation mechanism defect which formed between processes to processes is starting to emerge. In this paper, we introduce a very distinctive crosstalk defect between develop to etch process. This defect only in the presence of photoresist, developer, etching species and interaction will produce. We also successful to reproduce this crosstalk defect by particle monitor mask without exposing the production pattern. By the experiment results we will bring forward the possible defect generation mechanism. Based on this understanding, appropriate solution to mitigate defects caused by crosstalk defect between develop to etch will be proposed.

Patent
10 Jul 2017
TL;DR: The inventive subject matter as discussed by the authors provides an apparatus for reproducibly fabricating hydrogel-based organ and tumor models inside multi-well plates, which can be used for studying the progression of cancer, cancer diagnostics, and therapeutic screening.
Abstract: The inventive subject matter provides an apparatus for reproducibly fabricating hydrogel-based organ and tumor models inside multi-well plates. For example, tumor models made using the inventive apparatus can be used for studying the progression of cancer, cancer diagnostics, and therapeutic screening. A mold controls the thickness of each hydrogel layer. A photomask controls the size and shape of each hydrogel layer, allowing the hydrogel diameter to be smaller than the diameter of each well so that liquid media can be exchanged around both the sides and top of the hydrogels. A holder aligns the photomask with the multi-well plate, and polymerization is initiated by a light source.

Patent
30 Mar 2017
TL;DR: In this article, a method of manufacturing a photomask which is for use in manufacturing a display device and which has a transfer pattern including a light transmitting portion, a first light transmission control portion, and a second light transmission controlling portion is presented.
Abstract: To realize a photomask which is for use in manufacturing a display device and which is exactly as designed and takes advantages of optical characteristics of individual films without changing them. This invention provides a method of manufacturing a photomask which is for use in manufacturing a display device and which has a transfer pattern including a light transmitting portion, a first light transmission control portion, and a second light transmission control portion. The method includes a step of preparing a photomask blank provided with a first optical film and a first resist film and thereafter carrying out first lithography on the first resist film to form a first resist pattern; a step of etching only the first optical film by using the first resist pattern to form a first optical film pattern, a step of forming a second optical film on a transparent substrate, thereafter forming a second resist film on the second optical film, and carrying out second lithography to form a second resist pattern, and a step of etching only the second optical film by using the second resist pattern to form a second optical film pattern. The second resist pattern has a size to cover a region where the second light transmission control portion is to be formed, with the addition of a margin of a predetermined width on a side of the first light transmission control portion adjacent to an edge of the second light transmission control portion.

Journal ArticleDOI
TL;DR: In this paper, a polymeric microlens array (MLA) using ultraviolet (UV) light to cure photosensitive monomers through a photomask was presented, and a geometric relief with a lens character was generated.
Abstract: We prepared a polymeric microlens array (MLA) using ultraviolet (UV) light to cure photosensitive monomers through a photomask. After a short-time UV exposure, the uncured monomers experience a process of partial wetting and self-development on the surface of cured monomers. As a result, a geometric relief with a lens character is generated. Depending on the pattern of the photomask, either a convex or concave MLA can be fabricated. The mechanism of forming the MLA is explained and the concept is proved experimentally. Owing to the merits of simple fabrication, good flexibility, and high optical performance, the MLA has potential applications in light diffusers, fiber/organic light-emitting diode couplers, biomedical imaging, and displays.