scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2019"



Journal ArticleDOI
TL;DR: A new method for correcting stress-induced distortion in flat silicon substrates which utilizes a micro-patterned silicon oxide layer on the back side of the substrate, and demonstrates stress compensation control to a precision of ~0.2%.
Abstract: We introduce a novel method for correcting distortion in thin silicon substrates caused by coating stress. Thin substrates, such as lightweight mirrors for x-ray or optical imaging, and semiconductor wafers or flat panel substrates, are easily distorted by stress in thin film coatings. We report a new method for correcting stress-induced distortion in flat silicon substrates which utilizes a micro-patterned silicon oxide layer on the back side of the substrate. Due to the excellent lithographic precision of the patterning process, we demonstrate stress compensation control to a precision of ~0.2%. The proposed process is simple and inexpensive due to the relatively large pattern features on the photomask. The correction process has been tested on flat silicon wafers that were distorted by 30 nm-thick compressively-stressed coatings of chromium, achieving RMS surface height and slope error reductions of a factor of 68 and 50, respectively.

23 citations


Journal ArticleDOI
TL;DR: In this paper, both amplitude and phase masks are considered for hexagonal and square arrays of mask openings, respectively, and it is shown how small changes in the mask pitch can dramatically affect the resolution achievable.
Abstract: Displacement Talbot lithography (DTL) is a new technique for patterning large areas with sub-micron periodic features with low cost. It has applications in fields that cannot justify the cost of deep-UV photolithography, such as plasmonics, photonic crystals, and metamaterials and competes with techniques, such as nanoimprint and laser interference lithography. It is based on the interference of coherent light through a periodically patterned photomask. However, the factors affecting the technique’s resolution limit are unknown. Through computer simulations, we show the mask parameter’s impact on the features’ size that can be achieved and describe the separate figures of merit that should be optimized for successful patterning. Both amplitude and phase masks are considered for hexagonal and square arrays of mask openings. For large pitches, amplitude masks are shown to give the best resolution; whereas, for small pitches, phase masks are superior because the required exposure time is shorter. We also show how small changes in the mask pitch can dramatically affect the resolution achievable. As a result, this study provides important information for choosing new masks for DTL for targeted applications.

23 citations


Journal ArticleDOI
TL;DR: This work discusses the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.
Abstract: Grayscale lithography is a widely known but underutilized microfabrication technique for creating three-dimensional (3-D) microstructures in photoresist. One of the hurdles for its widespread use is that developing the grayscale photolithography masks can be time-consuming and costly since it often requires an iterative process, especially for complex geometries. We discuss the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs. Several examples of optical microsystems and microelectromechanical systems where PROLITH was used to validate the mask design prior to implementation in the microfabrication process are presented. In all examples, PROLITH was able to accurately and quantitatively predict resist profiles, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.

16 citations


Proceedings ArticleDOI
27 Jun 2019
TL;DR: In this paper, Te-and Ru-based alloys are evaluated on film morphology, stability during combined hydrogen and EUV loading, and thermal and chemical durability, and the EUV optical constants are measured by EUV reflectometry, and preliminary results of plasma etching are shown to enable patterning.
Abstract: In next-generation EUV imaging for foundry N5 dimensions and beyond, inherent pitch- and orientation-dependent effects on wafer level will consume a significant part of the lithography budget using the current Ta-based mask. Mask absorber optimization can mitigate these so-called mask 3D effects [1-3]. Last year at the SPIE Photomask and EUVL conference [4,5], EUV mask absorber change is recognized by the community as key enabler of next-generation EUV lithography. Through rigorous lithographic simulations we have identified regions, based on the material optical properties and their gain in imaging performance compared to the reference Ta-based absorber [6]. In addition, we have established a mask absorber requirement test flow to validate the candidate material to the full mask supply chain. In this paper we discuss in more detail Te- and Ru- based alloys which cover these different improvement regions. Candidate materials are evaluated on film morphology, stability during combined hydrogen and EUV loading, and thermal and chemical durability. The EUV optical constants are measured by EUV reflectometry, and preliminary results of plasma etching are shown to enable patterning.

16 citations


Journal ArticleDOI
TL;DR: This paper proposes a method for improving the patterning resolution of conventional contact photolithography from the micrometer, down to the sub-micrometer scale, using a soft polydimethylsiloxane photomask, which is first replicated from a silicon mold and then patterned with a black photoresist layer to selectively block ultraviolet (UV) light.
Abstract: This paper proposes a method for improving the patterning resolution of conventional contact photolithography from the micrometer, down to the sub-micrometer scale. The key element is a soft polydimethylsiloxane (PDMS) photomask, which is first replicated from a silicon mold and then patterned with a black photoresist (PR) layer to selectively block ultraviolet (UV) light. This soft PDMS photomask can easily form an intimate and conformable contact with a PR-coated substrate and hence can perform contact photolithography with high pattern resolution. The fabrication processes of this black-PR/PDMS soft photomask are experimentally carried out. Using the fabricated soft photomask, UV patterning by contact photolithography with the smallest line-width of 170 nm over a 4” wafer area was successfully achieved. The advantages and potentials of this new type of contact photolithography will be addressed.

13 citations


Proceedings ArticleDOI
26 Mar 2019
TL;DR: In this article, the authors designed and manufactured two EUV mask samples with absorber and phase defects and inspected them with RESCAN in die-to-database mode, and verified that RESCAN can detect absorber defects in random patterns and buried (phase) defects down to 50 × 50 nm2.
Abstract: Reliable photomask metrology is required to reduce the risk of yield loss in the semiconductor manufacturing process. Actinic pattern inspection (API) of EUV reticles is a challenging problem to tackle with a conventional approach. For this reason we developed an API platform based on coherent diffraction imaging. Aim: We want to verify the sensitivity of our platform to absorber and phase defects. Approach: We designed and manufactured two EUV mask samples with absorber and phase defects and we inspected them with RESCAN in die-to-database mode. Results: We reconstructed an image of an array of programmed absorber defects and we created a defect map of our sample. We inspected two programmed phase defect samples with buried structures of 3.5 nm and 7.8 nm height. Conclusions: We verified that RESCAN in its current configuration can detect absorber defects in random patterns and buried (phase) defects down to 50 × 50 nm2.

12 citations


Proceedings ArticleDOI
26 Sep 2019
TL;DR: 7 kinds of data technologies and one proposal for the era of EUV lithography are discussed, which requires fundamental changes such as data format, data flow, and correction algorithm.
Abstract: Data technology for data handling, correction, and verification has become the essential technology of photomask. By the shrinkage of device pitch and the development of lithography technology, the data volume of photomask has been increased continuously and the correction and verification technology based on design data has an important role to meet the target of patterning quality. Especially, because EUV lithography makes single patterning possible, the decrease of device pitch rises to the challenge on the data technology for EUV photomask. Furthermore, the multi-beam mask writer which enables dose modulation for each pixel requires fundamental changes such as data format, data flow, and correction algorithm. Here, we will discuss about 7 kinds of data technologies and one proposal for the era of EUV lithography.

8 citations


Journal ArticleDOI
TL;DR: In this paper, an effective double exposure four-beam interference lithography method was proposed by intentionally designing the grating with a slightly different pitch to create an optical path difference that is longer than the coherence length of the EUV light (13.5nm).
Abstract: Extreme ultraviolet interference lithography (EUV-IL) is a relatively simple and inexpensive technique that can pattern high-resolution line/space and has been successfully used for the resist performance testing. While the aerial image in EUV-IL formed by two beams is straightforward to understand and has contrast of 1, the aerial image formed by four beams providing contact holes is rather complicated. The beam polarization and relative phases of the individual beams play a significant role in the aerial image formation in four-beam interference lithography. In particular, controlling the relative phase of the beams is very difficult to achieve due to short wavelength. To circumvent this problem, we propose an effective double exposure four-beam interference lithography method, by intentionally designing the grating with a slightly different pitch to create an optical path difference that is longer than the coherence length of the EUV light (13.5 nm). We numerically prove the effective double exposure four-beam interference is not sensitive to the phases difference and verify our analytical model by printing both positive tone chemically amplified resist and a negative tone inorganic resist.

7 citations


Journal ArticleDOI
07 Dec 2019
TL;DR: In this article, the authors describe the development of the 13.5-nm coherent scatterometry microscope (CMS) with high-order harmonic generation (HHG) for the mask inspection of EUV lithography.
Abstract: Extreme ultraviolet (EUV) lithography with reflective photomasks is currently being refined for high-volume manufacturing of chips with dimensions of 7 nm or less. EUV scanners can replace the most critical layers and provide lithography capabilities complementary to ArF technology. However, the fabrication and inspection of defect-free masks still remain one of the most critical issues facing EUV technology. In this review, we describe our research on the development of the 13.5-nm coherent scatterometry microscope (CMS) with high-order harmonic generation (HHG) for the mask inspection of EUV lithography. Using the HHG-CSM system, we observed programmed pattern defects in a periodic patterned mask. In the diffraction pattern from the EUV mask, a 2-nm wide line defect in an 88-nm line-and-space pattern as well as sub-100 nm sized absorber defects in a 112 nm hole pattern were both detected. By further improving the system, we demonstrated the successful reconstructions of an-88 nm periodic L/S pattern and a cross-pattern with a quantitative phase contrast. These results signify that the standalone HHG-CSM system has tremendous potential.

7 citations


Journal ArticleDOI
TL;DR: This paper develops a nonlinear compressive sensing framework for ILT that effectively improves the computational efficiency and image fidelity, while at the same time controlling the mask complexity.
Abstract: Photolithography is at the core of the semiconductor industry that is used to fabricate microscale and nanoscale integrated circuits. Inverse lithography is a technique extensively used to compensate for lithography patterning distortions. It refers to methods that pre-distort the photomask patterns such that their projection, through the photolithography system, results in a pattern that is as close as possible to the intended original. However, most inverse lithography technique (ILT) methods suffer from large computational complexity. This paper develops a nonlinear compressive sensing framework for ILT that effectively improves the computational efficiency and image fidelity, while at the same time controlling the mask complexity. Based on a nonlinear lithography imaging model, the compressive ILT is formulated as an inverse optimization problem aimed at reducing the patterning error, and enforcing the sparsity and low rank properties of the mask pattern. A downsampling strategy is adopted to reduce the dimensionality of the cost function, thus alleviating the computational burden. Sparsity and low-rank regularizations are then used to constrain the solution space and reduce the mask complexity. The split Bregman algorithm is used to solve for the inverse optimization problem. The superiority of the proposed method is verified by a set of simulations and comparison to traditional ILT algorithms.

Journal ArticleDOI
TL;DR: The capabilities of computational mask aligner lithography are demonstrated by extending optical proximity correction to non-Manhattan geometries by combining a rigorous simulation method for light propagation with a particle-swarm optimization to identify suitable mask patterns adapt to each occurring feature in the mask.
Abstract: In proximity mask aligner photolithography, diffraction of light at the mask pattern is the predominant source for image shape distortions such as line end shortening and corner rounding. One established method to mitigate the impact of diffraction is optical proximity correction. This method relies on a deliberate sub-resolution modification of photomask features to counteract such shape distortions, with the goal to improve pattern fidelity and uniformity of printed features. While previously considered for masks featuring only rectangular shapes in horizontal or vertical orientation, called Manhatten geometries, we demonstrate here the capabilities of computational mask aligner lithography by extending optical proximity correction to non-Manhattan geometries. We combine a rigorous simulation method for light propagation with a particle-swarm optimization to identify suitable mask patterns adapt to each occurring feature in the mask. The improvement in pattern quality is demonstrated in experimental prints. Our method extends the use of proximity lithography in optical manufacturing, as required in a multitude of micro-optical devices.

Proceedings ArticleDOI
26 Mar 2019
TL;DR: In this article, the Mo-Si multilayer stack of an EUV photomask was designed to increase the optical efficiency of shadowing-orientation equal lines and spaces imaged under dipole illumination.
Abstract: In this work, we demonstrate a method to design the Mo-Si multilayer stack of an EUV photomask to increase the optical efficiency of shadowing-orientation equal lines and spaces imaged under dipole illumination. We achieve this using a computational framework written in the PyTorch machine learning library, which is capable of optimizing the multilayer for partially-coherent imaging rather than specular reflectivity. After computing optimal multilayer designs for both 0.33 and 0.55 NA EUV systems, we verify the improvements via RCWA simulation. We demonstrate optical efficiency gains of up to 22%=14% for the 0.33/0.55 NA systems, respectively.

Proceedings ArticleDOI
02 Jan 2019
TL;DR: This paper shows how the proven technology of the ZEISS aerial image system implemented into the AIMSTM EUV platform supports EUV photomasks production in the back end of the line of Intel photomask manufacturing shop.
Abstract: EUV lithography is being prepared for insertion into the semiconductor production processes to continue the reduction of critical feature sizes at subsequent process nodes. To support that EUV wafer lithography development and production, the EUV photomask infrastructure similarly needs to be ready to support the shipment of EUV photomasks. EUV photomasks will require tighter process controls and tighter defect specifications to meet the requirements necessary for the wafer manufacturing insertion node. The novelty of the EUV lithography process combined with the high degree of complexity of the EUV photomask structure and process each contribute to the tightening of EUV photomask requirements, requiring accurate metrology to ensure fidelity to the photomask specifications. To fully address the industry requirements for EUV defectivity review and actinic mask qualification, ZEISS and the SUNY POLY SEMATECH EUVL Mask Infrastructure consortium have developed and commercialized the EUV aerial image metrology system, the AIMSTM EUV. The first commercial platform is already installed at a customer site and is available to support the EUV photomask production pipeline. This paper shows how the proven technology of the ZEISS aerial image system implemented into the AIMSTM EUV platform supports EUV photomask production in the back end of the line of Intel photomask manufacturing shop. Alongside with describing the essential development phases of the platform at customer site, examples of the reproducible measurement quality, as well as stability of the imaging fidelity of the system in production will be shown. In addition, the system output together with the experience on uptime and availability of the AIMSTM EUV platform in production is presented.

Proceedings ArticleDOI
27 Jun 2019
TL;DR: In this article, an EUV photomask lifetime test performed at EBL2 was described, where the mask was exposed to different EUV doses under a controlled gas and temperature environment.
Abstract: TNO has built EBL2, an EUV exposure facility equipped with an in vacuo X-ray photoelectron spectroscopy setup (XPS) and an in-situ ellipsometer. EBL2 enables lifetime testing of EUV optics, photomasks, pellicles and related components under development in relevant EUV scanner and source conditions, which was previously not available to industry. This lifetime testing can help the industry to prepare for high volume production using EUV lithography by bringing forward information about material behavior which facilitates the development cycle. This paper describes an EUV photomask lifetime test performed at EBL2. The mask was exposed to different EUV doses under a controlled gas and temperature environment. To investigate how EUV light interacts with the mask, various analysis techniques were applied before and after EUV exposure. In-situ XPS was used to investigate elemental compositions of the mask surface. An ex-situ critical dimension scanning electron microscope (CD-SEM) and an atomic force microscope (AFM) were used to explore the impact of EUV light on critical dimensions (CD) and feature profiles. In addition, EUV reflectometry (EUVR) was used to investigate the change of reflectivity after EUV exposures. The exposure conditions are reported, as well as an analysis of the effects observed.

Proceedings ArticleDOI
21 Jun 2019
TL;DR: In this paper, a lensless approach based on coherent diffraction imaging at Extreme Ultra Violet (EUV) light at a wavelength of 13.5 nm is described. But the inspection of the EUV photomask is still an open issue as no commercial solutions are currently available.
Abstract: The production of modern semiconductor devices is based on photolithography, a process through which a pattern engraved on a mask is projected on a silicon wafer coated with a photosensitive material. In the past few decades, continuous technological progress in this field allowed the industry to follow Moore’s law by reducing the size of the printed features. This was achieved by progressively increasing the numerical aperture of the projection system and reducing the wavelength. The latest lithography platforms for semiconductor manufacturing employ Extreme Ultra Violet (EUV) light at a wavelength of 13.5 nm. The metrology for the optics and the components of such platforms is not fully mature yet. Specifically, the inspection of the EUV photomask is still an open issue as no commercial solutions are currently available. Here we describe a lensless approach to this problem, based on coherent diffraction imaging at EUV that overcomes the main technological issues linked to the conventional mask inspection approach.

Patent
01 Feb 2019
TL;DR: In this paper, a halftone photomask is adopted to carry out patternization on the photoresist material, a second flat sublayer is thinned, the thickness of the second flat subsurface is reduced, and the distance between a second source-drain metal layer and a neutral surface is narrowed.
Abstract: The invention provides a method of making a flexible array substrate and a flexible array substrate. The method comprises steps: an interlayer dielectric layer is coated with a photoresist material; ahalftone photomask is adopted to carry out patternization on the photoresist material; first flat layers with different heights are acquired; and the part, corresponding to a display area, of the first flat layer is thinned. The halftone photomask is adopted to carry out patternization on the photoresist material, a second flat sublayer is thinned, the thickness of the second flat sublayer is reduced, the distance between a second source-drain metal layer and a neutral surface is narrowed, and in the case of bending, the stability of the second source-drain metal layer is facilitated.

Journal ArticleDOI
TL;DR: In this article, a maskless fabrication method for FPR using a wedged liquid crystal (LC) cell is introduced, which does not require expensive photomask and nano-wire grid polarizer, and can be simply controllable by changing the birefringence of LC material with temperature or using a light source of different wavelengths.
Abstract: Film-patterned-retarder (FPR) is an optical film used in the stereoscopic 3D display and is fabricated using an expensive photomask and nano-wire grid polarizer. This method is expensive and the pitch of FPR is fixed for a given photomask. Here, we introduce a maskless fabrication method for FPR using a wedged liquid crystal (LC) cell. The retardation of the wedged LC cell is continuously increased from one edge to the other. When the polarized monochromatic laser beam (450 nm) passes through the cell, periodically undulated polarization pattern of light is obtained, and can be used to produce FPR by combining with the photo-alignment method. The method does not require the expensive photomask and nano-wire grid polarizer, and the pitch of FPR can be simply controllable by changing the birefringence of LC material with temperature or using a light source of different wavelengths. Thus, this method can provide a simple and cost-effective method for FPR fabrication.

Journal ArticleDOI
30 Sep 2019
TL;DR: Red blood cells on the surface of a lithium niobate crystal can be used as optical lenses for direct writing of laser-induced refractive index changes, which will have an impact on both diagnostics and cell\material interfacing.
Abstract: Red blood cells on the surface of a lithium niobate crystal can be used as optical lenses for direct writing of laser-induced refractive index changes. The writing process by such a photomask made of biological lenses is due to the photorefractive effect. Wavefront analysis by a digital holographic microscope is performed for deep and accurate evaluation of local refractive index changes. Different focusing properties can be imprinted on the crystal depending on which type of RBC is employed, discocytes or spherical-like RBCs. The possibility to fix into a solid material the optical fingerprint of the RBCs will have an impact on both diagnostics and cell\material interfacing.

Proceedings ArticleDOI
26 Sep 2019
TL;DR: In this article, the authors presented the feasibility of determining the optical constants for candidate materials for EUV photomask absorbers using EUV reflectometry, which is a widely used method for the determination of film thickness, especially in the X-ray spectral range.
Abstract: After the introduction to high volume manufacturing, continuous development of EUVL systems and components will require the use of novel engineering materials, for example as absorber layer on next generation photomasks. In the EUV or soft X-ray spectral range the optical parameters of many materials are often not well known or based on theoretical calculations and interpolations. Thus, highly accurate measurements of the optical constants of thin layers obtained from different material compositions are necessary for the realistic modelling of new photomasks designs. Reflectometry is a widely used method for the determination of film thickness, especially in the X-ray spectral range. The same approach can also be used in EUV with a different focus. The aim is then to reconstruct the optical material parameters, the real and imaginary part of the refractive index, from the reflectivity measurements with a well-defined layer thickness. In this study, we will present the feasibility of determining the optical constants for candidate materials for EUV photomask absorbers using EUV reflectometry.

Book ChapterDOI
TL;DR: This chapter provides step-by-step procedures for the fabrication of glass-based microfluidic devices, which include device design, photomask generation, photolithography, channel etching, and high-temperature bonding.
Abstract: This chapter provides step-by-step procedures for the fabrication of glass-based microfluidic devices. These procedures include device design, photomask generation, photolithography, channel etching, and high-temperature bonding.

Proceedings ArticleDOI
27 Jun 2019
TL;DR: In this article, the impact of mask front-end handling system to defect generated in Cr etching process even in very lower concentration environment airborne molecular contamination is investigated. And an appropriate solution to mitigate defects caused by airborne molecular contaminants to Cr etch process is proposed.
Abstract: As technical advances continue, the pattern size of semiconductor circuit has been shrunk. Defect control becomes tighter due to decrease in defect size that affects the image printed on the wafer. It is critical to the photomask which contained considerably shrunk circuit and ultra high density pattern for sub – 14 nm tech devices. Therefore particle source from all processes should be controlled extremely. Most of defects generated in mask fabrication processes have been mainly created during each unit process. In this paper, we introduce a study of airborne molecular contamination to Cr etching process. The impact of mask front-end handling system to defect generated in Cr etching process even in very lower concentration environment airborne molecular contamination. By the experiment results we will bring forward the possible defect generation mechanism. Based on this understanding, appropriate solution to mitigate defects caused by airborne molecular contamination to Cr etching process will be proposed.

Patent
12 Feb 2019
TL;DR: In this paper, examples of a multiple-mask multiple-exposure lithographic technique and suitable masks are provided in some examples, where a photomask includes a die area and a stitching region disposed adjacent to the die area.
Abstract: Examples of a multiple-mask multiple-exposure lithographic technique and suitable masks are provided herein In some examples, a photomask includes a die area and a stitching region disposed adjacentto the die area and along a boundary of the photomask The stitching region includes a mask feature for forming an integrated circuit feature and an alignment mark for in-chip overlay measurement Embodiments of the invention also relate to a method for forming a semiconductor device and a mask

Proceedings ArticleDOI
29 Sep 2019
TL;DR: This work compares three algorithms to find the optimal reconstruction strategy to achieve the highest resolution, sensitivity and reconstruction speed in RESCAN and demonstrates that the best approach is the difference map algorithm.
Abstract: RESCAN is an actinic patterned EUV mask metrology tool based on coherent diffraction imaging. An image of the reticle is reconstructed from recorded diffraction patterns using a phase retrieval algorithm. As semiconductor manufacturing has moved to EUV lithography to meet the next technology node, accurate photomask metrology with resolution in the nanometer range is crucial for high production yield. To find the optimal reconstruction strategy to achieve the highest resolution, sensitivity and reconstruction speed in RESCAN, we compared three algorithms. We demonstrate that, for the current setup, the best approach is the difference map algorithm.

Patent
14 Jun 2019
TL;DR: In this paper, the authors proposed a photolithography device for generating structure on a photoresist substrate, the device comprising a light illumination unit and a photomask, which is remarkable in that it comprises at least one layer of dielectric material and a medium having a refractive index lower than that of said dielectrics material.
Abstract: In one embodiment of the disclosure, it is proposed a photolithography device for generating structure on a photoresist substrate, the photolithography device comprising a light illumination unit and a photomask. The photomask is remarkable in that it comprises at least one layer of dielectric material and a medium having a refractive index lower than that of said dielectric material, wherein a surface of said at least one layer of dielectric material has at least one abrupt change of level forming a step, and wherein at least a base and lateral part of said surface, with respect to said step and a propagation direction of an electromagnetic wave from said light illumination unit, is in contact with said medium.

Journal ArticleDOI
TL;DR: In this article, the authors evaluate the performance of a reflectivemode EUV mask scanning microscope (RESCAN), with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni).
Abstract: Background: One of the challenges for extreme ultraviolet (EUV) lithography is the mitigation of mask three-dimensional effects arising from the oblique incident angle and the mask topography. As the scanners’ numerical aperture and the pattern aspect ratio increase, these effects become more prominent. A potential solution to reduce them consists in replacing the current TaBN absorber for a higher-k material. Aim: We demonstrate the potential of a mask inspection platform to evaluate the impact of different absorber materials on actinic defect inspection. Approach: We evaluate the performance of a reflective-mode EUV mask scanning microscope (RESCAN), our actinic lensless inspection tool, with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni). We study the effect of these materials on the image formation and compare the defect maps. Results: The Ni absorber mask exhibits a better contrast compared to the TaBN one, even though the thickness of the layers differs only by 10 nm. Programmed defects are localized and detected with a high signal-to-noise ratio (SNR). Conclusions: The gain in contrast for the Ni absorber being significant, the SNR is higher for a smaller defect in a TaBN absorber photomask. RESCAN allows the evaluation of the performance of absorber materials in defectivity and image formation on small samples.

Proceedings ArticleDOI
26 Sep 2019
TL;DR: In this paper, the polarization effects induced by EUV masks for sub-7nm lithography generations were explored. And it was found that there is polarization-dependent induction and attenuation of current in EUV mask structures as mask pitch decreases.
Abstract: As the extreme ultraviolet (EUV) lithography technology progresses towards and below sub-7nm generations, polarization effects will begin to have an impact. As numerical apertures increase, the consequences at both the mask and the wafer plane need to be understood. Contrast losses at large angles can occur from non-ideal interference at the wafer plane (i.e. TM vs. TE polarization). While such loss of infidelity can be low for near wavelength half-pitch generations, additional image degradation can be attributed to polarization effects for higher resolution generations. Some of this arises from the mask. The polarized reflectance from a EUV photomask is influenced by the multilayer reflective stack as well as the polarizing effect of the patterned features. This paper explores the polarization effects that are induced by EUV masks for sub-7nm lithography generations. From the results, it was found that there is polarization-dependent induction and attenuation of current in EUV mask structures as mask pitch decreases.

Proceedings ArticleDOI
26 Mar 2019
TL;DR: Application of the deep-learning processing scheme allows efficient noise reduction on SEM inspection images and helps us discern minor details previously shadowed by noise, which is extremely important as the authors move towards using EUV in high volume manufacturing.
Abstract: Deep-learning-based SEM image denoiser Dorin Cerbu1, Sandip Halder1, Philippe Leray1 1IMEC, Kapeldreef 75, B-3001 Leuven, Belgium We report the development of a new method to denoise SEM images with the help of artificial neural networks. Upon using a preprocessing and training scheme tailored for SEM images of structures, most often encountered in semiconductor manufacturing, we can efficiently denoise images affected with varying degrees of noise severity and origin. In the figure below, we show an example of how we can use this filter efficiently to treat noisy images and improve the image quality. This can help in acquisition of more stable and better metrology data. Fig1(a) original image (b) Image which has been denoised using deep-learning based algorithms This development is of utmost importance for the case of post-litho processing step where resist nanostructures when SEM inspected are usually impacted by the electron beam and shrink, hence skewing critical dimension measurements. This is especially true as we push towards sub N-10 nm nodes. Application of our deep-learning processing scheme allows efficient noise reduction on SEM inspection images and helps us discern minor details previously shadowed by noise. This is extremely important as we move towards using EUV in high volume manufacturing. Small details can be crucial to understand the root-cause of stochastic and process defects. In previous work, we have already shown different approaches to understand stochastic defects [1-2]. The goal of this work is to enhance the image quality as much as possible to gain further fundamental understanding on nano-defects. [1] S. Halder et. al., ‘Using machine learning techniques to understand EUV stochastics, SPIE Photomask Technology + Extreme Ultraviolet Lithography, 2018 [2] K. Sah et.al., ‘EUV stochastic defect monitoring with advanced Broadband optical wafer inspection and e-Beam review systems’, SPIE Photomask Technology + Extreme Ultraviolet Lithography, 2018

Journal ArticleDOI
TL;DR: Deep sub-wavelength uniform patterns with high aspect ratios were printed continuously over a moving substrate by integrating a quartz mechanical roller with a specially designed photomask based on plasmonic waveguide lithography.
Abstract: Photo roller lithography systems can generate patterns continuously over large areas by employing flexible photomasks on rotating quartz cylinders. In comparison, plasmonic lithography systems can reach deep sub-wavelength resolution utilizing evanescent waves carrying high spatial frequency components. In this work, we demonstrate a plasmonic roller system by integrating a quartz mechanical roller with a specially designed photomask based on plasmonic waveguide lithography. Deep sub-wavelength uniform patterns with high aspect ratios were printed continuously over a moving substrate. The plasmonic roller system may find practical applications in the large-scale production of electronic and photonic devices in a cost-effective way.

Patent
27 Jun 2019
TL;DR: In this article, the authors describe techniques that can assist with fabricating a semiconductor package that includes a zero misalignment-via (ZMV) and/or a trace formed using a polarization process.
Abstract: Techniques that can assist with fabricating a semiconductor package that includes a zero misalignment-via (ZMV) and/or a trace formed using a polarization process are described. The disclosed techniques can result in creation of ZMVs and/or traces between the ZMVs using a process comprising application of polarized light to one or more resist layers (e.g., a photoresist layer, etc.). One embodiment of a technique includes modulating an intensity of light applied to one or more resist layers by interaction of a light source with a photomask and at least one polarizer such that one or more patterns are created on the one or more resist layers. One embodiment of another technique includes creating patterns on one or more resist layers with different types of polarized light formed from a photomask and at least one polarizer. The disclosed techniques can assist with reducing manufacturing costs, reducing development time, and increasing I/O density.