scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2020"


Journal ArticleDOI
Sang-In Bae1, Kisoo Kim1, Sung-Pyo Yang1, Kyung-Won Jang1, Ki-Hun Jeong1 
TL;DR: This microfabrication method of multifocal microlens arrays (MF-MLAs) for extended depth-of-field (DoF) using multilayer photolithography and thermal reflow provides a new route for developing various threeD imaging applications such as light-field cameras or 3D medical endoscopes.
Abstract: We report a new microfabrication method of multifocal microlens arrays (MF-MLAs) for extended depth-of-field (DoF) using multilayer photolithography and thermal reflow. Microlenses of different focal lengths were simultaneously fabricated on a single glass wafer by using repeated photolithography with multiple photomasks to define microposts of different thicknesses and concurrent thermal reflow of multi-stacked microposts. The diverse lens curvatures of MF-MLAs are precisely controlled by the thickness of the micropost. Hexagonally packaged MF-MLAs clearly show three different focal lengths of 249 µm, 310 µm, and 460 µm for 200 µm in lens diameter and result in multifocal images on a single image sensor. This method provides a new route for developing various three-dimensional (3D) imaging applications such as light-field cameras or 3D medical endoscopes.

54 citations


Journal ArticleDOI
TL;DR: Metal patterns embedded in a flexible elastomer photomask with mechanical robustness are used for generation of subdiffraction patterns as a cost effective near-field optical printing approach that offers a higher resolution than common light-based printing systems, while enabling parallel-writing.
Abstract: Photolithography is the prevalent microfabrication technology. It needs to meet resolution and yield demands at a cost that makes it economically viable. However, conventional far-field photolithography has reached the diffraction limit, which imposes complex optics and short-wavelength beam source to achieve high resolution at the expense of cost efficiency. Here, we present a cost-effective near-field optical printing approach that uses metal patterns embedded in a flexible elastomer photomask with mechanical robustness. This technique generates sub-diffraction patterns that are smaller than 1/10th of the wavelength of the incoming light. It can be integrated into existing hardware and standard mercury lamp, and used for a variety of surfaces, such as curved, rough and defect surfaces. This method offers a higher resolution than common light-based printing systems, while enabling parallel-writing. We anticipate that it will be widely used in academic and industrial productions. Photolithography is an established microfabrication technique but commonly uses costly shortwavelength light sources to achieve high resolution. Here the authors use metal patterns embedded in a flexible elastomer photomask with mechanical robustness for generation of subdiffraction patterns as a cost effective near-field optical printing approach.

26 citations



Journal ArticleDOI
TL;DR: This paper reports the fabrication method of high NA, Mf-MLAs for the extended depth-of-field using single-step photolithography assisted by chemical wet etching and demonstrates the multi-focal plane image acquisition via Mf -MLAs integrated into a microscope.
Abstract: Imaging applications based on microlens arrays (MLAs) have a great potential for the depth sensor, wide field-of-view camera and the reconstructed hologram. However, the narrow depth-of-field remains the challenge for accurate, reliable depth estimation. Multifocal microlens array (Mf-MLAs) is perceived as a major breakthrough, but existing fabrication methods are still hindered by the expensive, low-throughput, and dissimilar numerical aperture (NA) of individual lenses due to the multiple steps in the photolithography process. This paper reports the fabrication method of high NA, Mf-MLAs for the extended depth-of-field using single-step photolithography assisted by chemical wet etching. The various lens parameters of Mf-MLAs are manipulated by the multi-sized hole photomask and the wet etch time. Theoretical and experimental results show that the Mf-MLAs have three types of lens with different focal lengths, while maintaining the uniform and high NA irrespective of the lens type. Additionally, we demonstrate the multi-focal plane image acquisition via Mf-MLAs integrated into a microscope.

14 citations


Journal ArticleDOI
TL;DR: In this article, a single-mask photolithography method for shape modulation of designed patterns with a single mask that utilizes the intrinsic properties of a monolithic photoresist was proposed.

9 citations


Journal ArticleDOI
TL;DR: This work presents a cost-effective approach to fabricating tapered 3D MSAs using dual-exposure lithography (DEL) and soft lithography and characterized various properties of the DEL-MSAs, which showed optical diffraction capability and increased hydrophobicity compared to plain PDMS surface.
Abstract: Three-dimensional (3D) microstructure arrays (MSAs) have been widely used in material science and biomedical applications by providing superhydrophobic surfaces, cell-interactive topography, and optical diffraction. These properties are tunable through the engineering of microstructure shapes, dimensions, tapering, and aspect ratios. However, the current fabrication methods are often too complex, expensive, or low-throughput. Here, we present a cost-effective approach to fabricating tapered 3D MSAs using dual-exposure lithography (DEL) and soft lithography. DEL used a strip-patterned film mask to expose the SU-8 photoresist twice. The mask was re-oriented between exposures (90° or 45°), forming an array of dual-exposed areas. The intensity distribution from both exposures overlapped and created an array of 3D overcut micro-pockets in the unexposed regions. These micro-pockets were replicated to DEL-MSAs in polydimethylsiloxane (PDMS). The shape and dimension of DEL-MSAs were tuned by varying the DEL parameters (e.g., exposure energy, inter-exposure wait time, and the photomask re-orientation angle). Further, we characterized various properties of our DEL-MSAs and studied the impact of their shape and dimension. All DEL-MSAs showed optical diffraction capability and increased hydrophobicity compared to plain PDMS surface. The hydrophobicity and diffraction angles were tunable based on the MSA shape and aspect ratio. Among the five MSAs fabricated, the two tallest DEL-MSAs demonstrated superhydrophobicity (contact angles >150°). Further, these tallest structures also demonstrated patterning proteins (with ~6-7 μm resolution), and mammalian cells, through microcontact printing and direct culturing, respectively. Our DEL method is simple, scalable, and cost-effective to fabricate structure-tunable microstructures for anti-wetting, optical-, and bio-applications.

9 citations


Journal ArticleDOI
TL;DR: The measured field variation of aberrations is consistent with system geometry and agrees with prior characterizations of the same system, hence, it provides substantial benefits when characterizing microscopes and high-resolution imaging systems in situ.
Abstract: We demonstrate a method for characterizing the field-dependent aberrations of a full-field synchrotron-based extreme ultraviolet microscope. The statistical uniformity of the inherent, atomic-scale roughness of readily-available photomask blanks enables a self-calibrating computational procedure using images acquired under standard operation. We characterize the aberrations across a 30-um field-of-view, demonstrating a minimum aberration magnitude of smaller than [Formula: see text] averaged over the center 5-um area, with a measurement accuracy better than [Formula: see text]. The measured field variation of aberrations is consistent with system geometry and agrees with prior characterizations of the same system. In certain cases, it may be possible to additionally recover the illumination wavefront from the same images. Our method is general and is easily applied to coherent imaging systems with steerable illumination without requiring invasive hardware or custom test objects; hence, it provides substantial benefits when characterizing microscopes and high-resolution imaging systems in situ.

8 citations


Dissertation
01 Jan 2020
TL;DR: In this paper, an additive manufacturing approach that hybridises the AM of polyetherimide (PEI) with selective light-based synthesis of silver nanoparticles to produce 3D electronic systems is presented.
Abstract: Additive manufacturing (AM) offers the ability to produce devices with a degree of three-dimensional complexity and mass customisation previously unachievable with subtractive and formative approaches. These benefits have not transitioned into the production of commercial electronics that still rely on planar, template-driven manufacturing, which prevents them from being tailored to the end user or exploiting conformal circuitry for miniaturisation. Research into the AM fabrication of 3D electronics has been demonstrated; however, because of material restrictions, the durability and electrical conductivity of such devices was often limited. This thesis presents a novel manufacturing approach that hybridises the AM of polyetherimide (PEI) with chemical modification and selective light-based synthesis of silver nanoparticles to produce 3D electronic systems. The resulting nanoparticles act as a seed site for the electroless deposition of copper. The use of high-performance materials for both the conductive and dielectric elements created devices with the performance required for real-world applications. For printing PEI, a low-cost fused filament fabrication (FFF); also known as fused deposition modelling (FDM), printer with a unique inverted design was developed. The orientation of the printer traps hot air within a heated build environment that is open on its underside allowing the print head to deposit the polymer while keeping the sensitive components outside. The maximum achievable temperature was 120 °C and was found to reduce the degree of warping and the ultimate tensile strength of printed parts. The dimensional accuracy was, on average, within 0.05 mm of a benchmark printer and fine control over the layer thickness led to the discovery of flexible substrates that can be directly integrated into rigid parts. Chemical modification of the printed PEI was used to embed ionic silver into the polymer chain, sensitising it to patterning with a 405 nm laser. The rig used for patterning was a re-purposed vat-photopolymerisation printer that uses a galvanometer to guide the beam that is focused to a spot size of 155 µm at the focal plane. The positioning of the laser spot was controlled with an open-sourced version of the printers slicing software. The optimal laser patterning parameters were experimentally validated and a link between area-related energy density and the quality of the copper deposition was found. In tests where samples were exposed to more than 2.55 J/cm^2, degradation of the polymer was experienced which produced blistering and delamination of the copper. Less than 2.34 J/cm^2 also had negative effect and resulted in incomplete coverage of the patterned area. The minimum feature resolution produced by the patterning setup was 301 µm; however, tests with a photomask demonstrated features an order of magnitude smaller. The non-contact approach was also used to produce conformal patterns over sloped and curved surfaces. Characterisation of the copper deposits found an average thickness of 559 nm and a conductivity of 3.81 × 107 S/m. Tape peel and bend fatigue testing showed that the copper was ductile and adhered well to the PEI, with flexible electronic samples demonstrating over 50,000 cycles at a minimum bend radius of 6.59 mm without failure. Additionally, the PEI and copper combination was shown to survive a solder reflow with peak temperatures of 249°C. Using a robotic pick and place system a test board was automatically populated with surface mount components as small as 0201 resistors which were affixed using high-temperature, Type-V Tin-Silver-Copper solder paste. Finally, to prove the process a range of functional demonstrators were built and evaluated. These included a functional timer circuit, inductive wireless power coils compatible with two existing standards, a cylindrical RF antenna capable of operating at several frequencies below 10 GHz, flexible positional sensors, and multi-mode shape memory alloy actuators.

8 citations


Journal ArticleDOI
TL;DR: The photoablation process is sufficiently precise that the smallest lateral feature size fabricated reproducibly to date, ∼350 nm, appears to be limited primarily by the photomask itself.
Abstract: Precision photoablation of bulk polymers or films with incoherent vacuum ultraviolet (VUV) radiation from flat, microplasma array-powered lamps has led to the realization of a photolithographic process in which an acrylic, polycarbonate, or other polymer serves as a dry photoresist. Patterning of the surface of commercial-grade, bulk polymers (or films spun onto Si substrates) such as poly-methyl methacrylate (PMMA) and acrylonitrile butadiene styrene (ABS) with 172 nm lamp intensities as low as ∼10 mW cm-2 and a fused silica contact mask yields trenches, as well as arbitrarily-complex 3D structures, with depths reproducible to ∼10 nm. For 172 nm intensities of 10 mW cm-2 at the substrate, linearized PMMA photoablation rates of ∼4 nm s-1 are measured for exposure times t≤ 70 s but a gradual decline is observed thereafter. Beyond t∼ 300 s, the polymer removal rate gradually saturates at ∼0.2 nm s-1. Intricate patterns are readily produced in bulk acrylics or 40-200 nm thick acrylic films on Si with two or more exposures and overall process times of typically 10-300 s. The photoablation process is sufficiently precise that the smallest lateral feature size fabricated reproducibly to date, ∼350 nm, appears to be limited primarily by the photomask itself. Examples of the versatility and precision of this photolithographic process include the fabrication of arrays of aluminum nanomirrors, each atop a 350 nm or 1 μm-diameter Si post, as well as optical components such as transmission gratings or Fresnel lenses photoablated into PMMA.

7 citations


Proceedings ArticleDOI
19 Oct 2020
TL;DR: The impact of the diffraction data preprocessing on the reconstructed image quality is discussed and the defect sensitivity improvement is demonstrated by applying an optimized data pre processing pipeline in the RESCAN microscope.
Abstract: Actinic EUV mask metrology is essentially needed for EUV lithography in the semiconductor device manufacturing process. At PSI, we are developing RESCAN, a coherent diffractive imaging (CDI)-based platform that can meet current and future mask inspection resolution requirements. In CDI, the diffraction patterns obtained by illuminating the sample with coherent light are recorded by a pixel detector, and these are used to reconstruct the complex-amplitude image of an object through an iterative phase retrieval algorithm. While in a conventional optical system, aberrations can compromise the final image's resolution, the CDI approach is inherently aberration-free. Nevertheless, a careful preprocessing of the diffraction signal is necessary to avoid artifacts in the reconstructed image. In particular, since our system works in reflection mode with an angle of incidence of 6° and uses a flat detector, it is necessary to correct the recorded diffraction patterns that are conically distorted due to the non-telecentricity. This paper discusses the impact of the diffraction data preprocessing on the reconstructed image quality and demonstrates the defect sensitivity improvement by applying an optimized data preprocessing pipeline in the RESCAN microscope. As a result, we achieve defect sensitivity down to 20 nm on the photomask and uniform image quality in a large field-of-view.

7 citations


Journal ArticleDOI
TL;DR: In this paper, a low voltage tunable liquid crystal Fibonacci gratings (FbG) was disclosed, where photo alignment technique has been used to create two domains of Fiboni structure using a photomask.
Abstract: In this article, we disclose low voltage tunable liquid crystal Fibonacci gratings (FbG). Photoalignment technique has been used to create two domains of Fibonacci structure using a photomask. Swit...

Proceedings ArticleDOI
28 Feb 2020
TL;DR: In this paper, an improved process chain was introduced to reduce the time from structure design to the realization to less than one day, where the structures, first designed with vector-graphics software, were printed on a commercial transparency film.
Abstract: Lithography is one of the key technologies employed for the fabrication of optical devices and components, which could be applied in fields as diverse as optical sensing, communication and information technologies. Microscope projection photolithography (MPP), as a low-cost, simple and flexible lithography method, lends itself for versatile applications. Its feasibility in realizing various microstructures has been verified already. However, the improvement of the quality and resolution of structures still remains challenging. Here, we present an MPP method for the controlled generation of high-quality and high-resolution 2D optical micro- and nanostructures. Particularly, an improved process chain, which significantly shortens the time from structure design to the realization to less than one day, is introduced. The structures, first designed with vector-graphics software, are printed on a commercial transparency film. Then, the film is placed into a self-developed setup, and the structure patterns are transferred onto a chromium photomask with a demagnification of 10:1, for example. The last step is to place the chromium photomask into the MPP arrangement and implement the fabrication using a microscope objective to demagnify and project structure patterns onto photoresist which is simultaneously exposed to UV light. With this process chain, periodic structures with a minimum feature size of 150 nm were realized using an objective with NA of 1.4. Furthermore, various photonic components such as micro ring resonators and arrayed waveguide gratings with high quality were generated with application potential, e. g. in sensing and monitoring.

Proceedings ArticleDOI
07 Oct 2020
TL;DR: The full-chip ILT technology employed in this study, first demonstrated in a paper presented at the 2019 SPIE Photomask Technology Conference, produces curvilinear ILT mask patterns without stitching errors, and with process windows enlarged by over 100% compared to the OPC process of record.
Abstract: In advanced semiconductor memory manufacturing, mask and lithography are critical for patterning. In this paper we jointly study the benefits of a full-chip, curvilinear, stitchless inverse lithography technology (ILT) with mask-wafer cooptimization (MWCO) for memory applications. The full-chip ILT technology employed in this study, first demonstrated in a paper presented at the 2019 SPIE Photomask Technology Conference[20], produces curvilinear ILT mask patterns without stitching errors, and with process windows enlarged by over 100% compared to the OPC process of record. At the 2020 SPIE Advanced Lithography conference, a new method was introduced, in which mask-wafer cooptimization (MWCO) is performed during ILT optimization[22]. This new approach enables curvilinear ILT for 193i masks to be written on variable-shaped beam (VSB) mask writers within a practical, 12-hour time frame, while also producing the largest process windows. This new study presents the mask and wafer results using MWCO. Curvilinear ILT mask patterns written by VSB mask writer and the corresponding 193i process wafer prints are shown. Evaluations of mask write times, and mask quality in terms of CD uniformity and process windows are also presented.

Patent
11 Sep 2020
TL;DR: In this paper, the authors present methods for forming a material layer in a film stack for manufacturing a photomask in EUV applications and phase shift and binary photomasks applications.
Abstract: The present disclosure provides methods for forming a material layer in a film stack for manufacturing a photomask in EUV applications and phase shift and binary photomask applications. In one example, a method for forming a dielectric material on a substrate includes supplying an oxygen containing gas mixture on a substrate in a processing chamber, the substrate comprising a dielectric material disposed on an optically transparent silicon containing material, maintaining the oxygen containing gas mixture in the processing chamber at a process pressure at greater than 2 bar, and thermally treating the dielectric material in the presence of the oxygen containing gas mixture.

Proceedings ArticleDOI
06 Oct 2020
TL;DR: The latest results of high-end EUV repairs carried out on the next generation photomask repair tool MeRiT LE are presented, which shows improved system dynamics, makes use of a new electron beam column, which operates at a low electron beam voltage down to 400V and enables the repair of next generation ultra-small defects.
Abstract: Scaling trends in the semiconductor industry towards smaller technology nodes and feature sizes are continuing and first consumer products manufactured with the help of EUV technology are already on the market. Major industrial players have introduced EUV lithography into their production at the 7nm technology node and with the 5nm node being on its way [1], the amount of EUV lithographic layers is expected to rise significantly and implementation of EUV double patterning is anticipated. These developments lead to more strict technological requirements especially for the corresponding EUV but also for the used high-end DUV photomasks in terms of minimum feature sizes and acceptable Edge Placement Errors (EPE). Moreover, photomask defectivity increases dramatically with shrinking feature sizes. This creates significant challenges to the industry, as in particular the most cost intensive EUV photomasks possess the highest numbers of defects. The current industry standard for high-end photomask repair tools is the MeRiT neXT [2]. To face the upcoming challenges an efficient and reliable way to repair future high-end photomasks is inevitable. A corresponding repair tool must address decreased minimum feature sizes and increased pattern complexity on high-end photomasks. In this paper we present our latest results of high-end EUV repairs carried out on the next generation photomask repair tool MeRiT LE. The tool shows improved system dynamics, makes use of a new electron beam column, which operates at a low electron beam voltage down to 400V and enables the repair of next generation ultra-small defects.

Patent
21 Feb 2020
TL;DR: In this article, a photomask consisting of a pattern for transfer and a low light-transmitting part is presented, in which a phase difference between the representative wavelengths transmitting through the main pattern and the auxiliary pattern is approximately 180 degrees.
Abstract: The invention provides a photomask and a manufacturing method of a display device. The invention provides an excellent photomask which is advantageously adapted to an exposure environment of a mask for manufacturing a display device and in which a fine pattern can be stably transferred, and a manufacturing method thereof. A photomask comprises a pattern for transfer which is formed on a transparent substrate, in which the pattern for transfer has: a main pattern having a diameter W1([mu]m); an auxiliary pattern having a width d([mu]m) which is arranged in the vicinity of the main pattern; anda low light-transmitting part which is arranged in a region other than an area where the main pattern and the auxiliary pattern are formed, a phase difference between the representative wavelengths transmitting through the main pattern and the auxiliary pattern is approximately 180 degrees, the diameter W1, the width d, transmittance T1(%) of the auxiliary pattern, transmittance T3(%) of the low light-transmitting part, and a distance P([mu]m) between the center of the main pattern and the center of the auxiliary pattern in a width direction have a predetermined relationship.

Proceedings ArticleDOI
05 Nov 2020
TL;DR: In this paper, a 300 mm aperture polyimide membrane substrate was figure-corrected by Reactive Ion Figuring (RIF) from the initial figure error of 62 nm rms to the final figure error in total effective figuring time of ~4.3 minutes.
Abstract: A simpler and lower cost Reactive Ion Figuring (RIF) process was demonstrated, combining with flexible film photomasks and an algorithm of masking layers distribution for less iteration. As a novel alternative figuring technology, RIF with parallel removal mode and chemical removal mechanism has showed the actual potential for large segment class figuring, especially in mass production of lightweight optical membrane components. Although diffractive membrane optics has been expected to meet the requirement of large aperture space-based telescopes, the flexible membrane has so different properties from traditional materials that the desired geometrical form is hardly obtained by current ultra-precision surface manufacturing technologies. In this paper, a 300 mm aperture polyimide membrane substrate was figure-corrected by RIF from the initial figure error of 62 nm rms to the final figure error of ~20 nm rms in total effective figuring time of ~4.3 minutes. Film photomask lowered the cost of photomask fabrication down to less than two hundredths of that of quartz photomask fabrication. All distributions of protected regions and removed regions, i.e., the patterns to be fabricated onto film photomasks, were obtained from the transmitted wave-front map just at the start of the entire RIF process. After 2 iterations, it took ~4.3 min to figure this sample and the 20 nm RMS is obtained with a RMS convergence ratio of 3.1.

Journal ArticleDOI
TL;DR: In this article, the authors show that optical contrast, which is the fundamental design variable of interference lithography, enforces coupled constraints on source coherence, beam pointing stability, field size, polarization state, and the intensity balance between beams.
Abstract: Interference lithography enables large area, sub-µm, periodic patterning without photomasks or projection lithography tools. We show that optical contrast, which is the fundamental design variable of interference lithography, enforces coupled constraints on source coherence, beam pointing stability, field size, polarization state, and the intensity balance between beams. The analysis enables selection and alignment tolerance of components to meet a specific design requirement. In particular, the analysis reveals that grating beam splitters are significantly less sensitive to beam pointing and polarization misalignment than plate beam splitters.

Journal ArticleDOI
TL;DR: This article identifies that spray-based photoresist deposition combined with optical maskless lithography demonstrates sufficient performance combined with low cost and operational convenience to offer an attractive alternative to conventional optical lithography.
Abstract: This article details and compares the technology options for post–processing foundry produced CMOS at chip-scale to enable More than Moore functionality. In many cases there are attractions in using chip-based processing through the Multi-Project Wafer route that is frequently employed in research, early-stage development and low-volume production. This article identifies that spray-based photoresist deposition combined with optical maskless lithography demonstrates sufficient performance combined with low cost and operational convenience to offer an attractive alternative to conventional optical lithography, where spin-coated photoresist is exposed through a patterned photomask. [2020-0249]

Journal ArticleDOI
TL;DR: In this article, a microdisplay-based microscope projection photolithography (MDMPP) technique was used to produce line patterns as narrow as 2.4 um, smaller than that specified by the diffraction limit, with the aid of a 4X objective lens.
Abstract: We developed a microdisplay-based microscope projection photolithography (MDMPP) technique in which a liquid crystal (LC) microdisplay is used as a reconfigurable photomask for a microscope projector. The LC microdisplay provides a significant advantage in terms of cost and speed since patterns can be generated through software instead of redesigning and fabricating glass photomasks. The constructed MDMPP system could produce line patterns as narrow as 2.4 um, smaller than that specified by the diffraction limit, with the aid of a 4X objective lens. The achievement of a linewidth smaller than the theoretical limit may be ascribed to a combination of overexposure and the underetching effect, in addition to the good optical performance of the system. In a diffraction experiment performed with fabricated slits, the application of the MDMPP technique helped provide various patterns of the slits, demonstrating the potential usefulness of the MDMPP system in undergraduate optics courses. We expect that MDMPP can contribute to the field of physics education and various areas of research, such as chemistry and biology, in the future.

Journal ArticleDOI
Gao Guohan1, Lihua Wang1, Shi Heng1, Dun Liu1, Bin Fan1, Chunlin Guan1 
TL;DR: The results demonstrated that vacuum assisted self contact method effectively eliminates considerable air gaps caused by unevenness of large area photomask and substrate, thus facilitates uniform light field distribution in photoresist.
Abstract: Optical polyimide (PI) membrane is a promising substrate material for diffractive lens applied in future large-aperture space based imaging system because of its light weight, environmental adaptability and deployable feature. In this letter, we put forward a facile large-area uniform photolithography technique using vacuum assisted self contact method to fabricate large-aperture membrane diffractive lens. We fabricated a φ 400 mm aperture membrane off-axis 2-levels Fresnel Zone Lens (FZL) based on the method and achieved uniformly distributed photoresist morphology as well as over 36.6% average diffraction efficiency in full aperture. The results demonstrated that vacuum assisted self contact method effectively eliminates considerable air gaps caused by unevenness of large area photomask and substrate, thus facilitates uniform light field distribution in photoresist. This work provides reference to fabrication techniques of large aperture membrane diffractive lens, and offers feasible methods for future large area flexible electronics manufacturing.

Proceedings ArticleDOI
13 Oct 2020
TL;DR: In this article, the effect of variations in the fpIII laser parameters with both carbon and HSQ (hydrogen silsesquioxane) absorber material pin dots on multilayer fields, according to the design of experiments (DOE) methodology, was investigated.
Abstract: This publication is a continuation of a prior work on the process space available for the repair and localized cleaning of extreme ultraviolet lithography (EUVL) photomasks with the fpIII femto-pulsed deep ultraviolet (UV) repair tool. This next phase of work was done in partnership with the Paul Scherrer Institute (PSI) to provide a more systematic examination of the process space. In these tests, specialized cells were produced to systematically test the effect of variations in the fpIII laser parameters with both carbon and HSQ (hydrogen silsesquioxane) absorber material pin dots on multilayer fields, according to design of experiments (DOE) methodology. Blank (no pin dot) test cells and pin dots were inspected both with nmVI AFM and PSI RESCAN EUV-actinic lensless metrology before and after laser processing. This data was then analyzed with full-factorial DOE, and less structured techniques, to provide insights into the capability of a modelled optimal fpIII laser process.

Proceedings ArticleDOI
23 Mar 2020
TL;DR: In this article, a comparison of experimental techniques for measuring the as-built phase shift of EUV photomasks to meet the unique requirements for EUV lithography at the resolution limit is presented.
Abstract: We present a comparison of experimental techniques for measuring the as-built phase shift of EUV photomasks to meet the unique requirements for EUV lithography at the resolution limit. Attenuated phase-shift masks provide superior image quality for certain applications such as low-k1 contact and pillar arrays, offering increased throughput and reduced stochastic printing failures. But whereas the traditional phase-shift is π, rigorous electromagnetic simulations suggest the optimal phase-shift for an EUV photomask must be adjusted to account for Mask 3D effects, which are themselves difficult to measure. In this work, we explore at-wavelength metrology including reflectometry, scatterometry, and phase imaging for measuring multilayer and absorber reflectance, as well as complex scattering amplitudes for a grating with pitch p = 420nm and duty-cycle D = 0.33. Using rigorous electromagnetic simulations, we find that relying only on reflectometery and the Fresnel thin-mask model predicts the complex scattering amplitudes with 22% accuracy due to 3D effects, whereas a combination of scatterometry and through-focus imaging can achieve a promising 0.6% accuracy, and a combination of scatterometry and Zernike Phase-Contrast imaging can achieve a superior 0.1% accuracy. Experimental results based on imaging and scatterometry clearly display 3D effects that differ substantially from idealized rigorous simulations, suggesting the difficulty of accurately predicting 3D effects and hence the need to accurately measure them.



Patent
15 May 2020
TL;DR: In this paper, the authors provided a photomask set of an OLED panel, a cathode evaporation method of the OLED panel and the OLED panels, which can increase the transmission rate of the camera shooting area.
Abstract: The invention provides a photomask set of an OLED panel, a cathode evaporation method of the OLED panel, and the OLED panel. The OLED panel comprises a display area with a camera shooting area. The photomask set comprises a first photomask and a second photomask. The first photomask comprises a common mask opening area. The common mask opening area covers the whole display area. The second photomask comprises a special-shaped mask opening area. The special-shaped mask opening area covers the display area except the camera shooting area. Through the photomask set, the cathode evaporation methodand the OLED panel, the transmission rate of the camera shooting area can be increased.

Journal ArticleDOI
TL;DR: The proposed method overcomes limitations of the conventional ultraviolet lithography technology without the need to the expensive nanolithography equipment and should be a useful tool for two-dimensional nano-structures fabrication due to its advantages of simple fabrication process, well controllability, and low-cost.
Abstract: Nanofluidics devices with two-dimensional nano-structures have attracted extensive interests for biological and chemical applications. The fabrication of nanoscale mask patterns with controllable line width is an indispensable process for manufacturing two-dimensional nano-structures. However, a simple and low-cost method for fabricating two-dimensional nano-patterns is still a challenge. In this work, a novel simple and low-cost method for fabricating nanoscale mask patterns with controllable line width, based on the ultraviolet exposure is presented. In the experiment, a layer of AZ5214 reversal photoresist was exposed to the ultraviolet light through the photomask with microscale patterns. After the lithography, nanoscale photoresist mesas patterns instead of microscale patterns were produced. The photoresist mesas with 400~800 nm width were fabricated. Meanwhile, by adjusting the parameters of exposure time, various nanoscale dimensions of photoresist mesas can be obtained. The proposed method overcomes limitations of the conventional ultraviolet lithography technology without the need to the expensive nanolithography equipment. Our novel fabrication method should be a useful tool for two-dimensional nano-structures fabrication due to its advantages of simple fabrication process, well controllability, and low-cost.

Patent
Chen Minfeng1, Shuo-Yen Chou1
16 Jun 2020
TL;DR: In this article, a patterned absorption layer over a substrate is irradiated with a beam having a mixture of transverse electronic (TE) waves and transverse magnetic (TM) waves.
Abstract: A method includes providing a photomask having a patterned absorption layer over a substrate. The photomask is irradiated with a beam having a mixture of transverse electronic (TE) waves and transverse magnetic (TM) waves. The irradiating includes generating surface plasmonic polaritons (SPP) on a sidewall of the patterned absorption layer. The SPP is used to suppress the TM waves while reflecting the TE waves. A target substrate is exposed to TE waves.

Journal ArticleDOI
TL;DR: In this paper, a model system for a new atomic hydrogen dry development process was proposed and successfully demonstrated, using a reaction system of poly(methyl methacrylate) mixed with molecular benzophenone as a negative tone resist in the proposed process.
Abstract: Atomic hydrogen dry etching was used for microstructure fabrication. Photolithography was proposed and achieved by a dry development process using atomic hydrogen irradiation. The reaction system of poly(methyl methacrylate) mixed with molecular benzophenone was examined as a model system for a proof-of-concept study. Optical patterning was experimentally made on a thin layer of poly(methyl methacrylate) with benzophenone by UV light exposure with a photomask. The reaction system acted as a negative tone resist in the proposed process. Thus, a model system for a new atomic hydrogen dry development process was proposed and successfully demonstrated.

Patent
01 Apr 2020
TL;DR: In this paper, a phase shift-type photomask blank that includes a transparent substrate, and a phase-shift film thereon, is presented, with respect to light having a wavelength of up to 200 nm, the phase shift film having a thickness of up-150 nm, and the layer composed of transition metal, silicon, nitrogen and oxygen having a content (atomic ratio) of upto 0.03, as a ratio of the transition metal to a total content of the silicon.
Abstract: Provided is a phase shift-type photomask blank that includes a transparent substrate, and a phase shift film thereon, the phase shift film consisting of a single layer or multiple layers, the single layer or multiple layers including at least one layer selected from the group consisting of a layer composed of transition metal, silicon, nitrogen and oxygen, and a layer composed of silicon, nitrogen and oxygen, the phase shift film having a phase shift of 150 to 250°, and a transmittance of 60 to 80%, with respect to light having a wavelength of up to 200 nm, the phase shift film having a thickness of up to 150 nm, and the layer composed of transition metal, silicon, nitrogen and oxygen having a content (atomic ratio) of up to 0.03, as a ratio of the transition metal to a total content of the transition metal and silicon.