scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2022"


Journal ArticleDOI
TL;DR: In this article , femtosecond laser direct writing (FsLDW) of hydrogen silsesquioxane (HSQ) through multi-photon absorption process is demonstrated.
Abstract: It's critically important to construct arbitrary inorganic features with high resolution. As an inorganic photoresist, hydrogen silsesquioxane (HSQ) has been patterned by irradiation sources with short wavelength, such as EUV and electron beam. However, the fabrication of three- dimensional nanoscale HSQ features utilizing infrared light sources is still challenging. Here, we demonstrate femtosecond laser direct writing (FsLDW) of HSQ through multi-photon absorption process. 26 nm feature size is achieved by using 780 nm fs laser, indicating super-diffraction limit photolithography of λ/30 for HSQ. HSQ microstructures by FsLDW possess nanoscale resolution, smooth surface, and thermal stability up to 600 °C. Furthermore, we perform FsLDW of HSQ to construct structural colour and Fresnel lens with desirable optical properties, thermal and chemical resistance. This study demonstrates that inorganic features can be flexibly achieved by FsLDW of HSQ, which would be prospective for fabricating micro-nano devices requiring nanoscale resolution, thermal and chemical resistance.

18 citations


Book ChapterDOI
TL;DR: In this paper, two different photolithography methods are described: liquid and dry photolithographic methods, which are used to produce polymer-based microdevices. But neither of them can be used for fabrication.
Abstract: Organs-on-Chip devices are generally fabricated by means of photo- and soft lithographic techniques. Photolithography is a process that involves the transfer of a pattern onto a substrate by a selective exposure to light. In particular, in this chapter two different photolithography methods will be described: liquid and dry photolithography. In liquid photolithography, a silicon wafer is spin-coated with liquid photoresist and exposed to UV light in order to be patterned. In dry photolithography, the silicon wafer is laminated with resist dry film before being patterned through UV light. In both cases, the UV light can be collimated on top of the wafer either through photomasks or by direct laser exposure. The obtained patterned wafer is then used as a mold for the soft lithographic process (i.e., replica molding) to produce polymer-based microdevices.

11 citations


Journal ArticleDOI
TL;DR: In this paper , a user-friendly in-situ micropatterning protocol was developed that integrates photolithography of crosslinkable, cell-laden hydrogels with a simple microfluidic housing, and tested the impact of crosslinking chemistry on stability and spatial resolution.
Abstract: Micropatterning techniques for 3D cell cultures enable the recreation of tissue-level structures, but the combination of patterned hydrogels with organs-on-chip to generate organized 3D cultures under microfluidic perfusion remains challenging. To address this technological gap, we developed a user-friendly in-situ micropatterning protocol that integrates photolithography of crosslinkable, cell-laden hydrogels with a simple microfluidic housing, and tested the impact of crosslinking chemistry on stability and spatial resolution. Working with gelatin functionalized with photo-crosslinkable moieties, we found that inclusion of cells at high densities (≥ 107/mL) did not impede thiol-norbornene gelation, but decreased the storage moduli of methacryloyl hydrogels. Hydrogel composition and light dose were selected to match the storage moduli of soft tissues. To generate the desired pattern on-chip, the cell-laden precursor solution was flowed into a microfluidic chamber and exposed to 405 nm light through a photomask. The on-chip 3D cultures were self-standing and the designs were interchangeable by simply swapping out the photomask. Thiol-ene hydrogels yielded highly accurate feature sizes from 100 - 900 μm in diameter, whereas methacryloyl hydrogels yielded slightly enlarged features. Furthermore, only thiol-ene hydrogels were mechanically stable under perfusion overnight. Repeated patterning readily generated multi-region cultures, either separately or adjacent, including non-linear boundaries that are challenging to obtain on-chip. As a proof-of-principle, primary human T cells were patterned on-chip with high regional specificity. Viability remained high (> 85%) after 12-hr culture with constant perfusion. We envision that this technology will enable researchers to pattern 3D co-cultures to mimic organ-like structures that were previously difficult to obtain.

7 citations


Journal ArticleDOI
TL;DR: In this paper , a low-cost fabrication method for implementation in multilayer soft photolithography to create a PDMS microfluidic chip with features possessing multiple height levels was presented.
Abstract: We present a robust, low-cost fabrication method for implementation in multilayer soft photolithography to create a PDMS microfluidic chip with features possessing multiple height levels. This fabrication method requires neither a cleanroom facility nor an expensive UV exposure machine. The central part of the method stays on the alignment of numerous PDMS slabs on a wafer-scale instead of applying an alignment for a photomask positioned right above a prior exposure layer using a sophisticated mask aligner. We used a manual XYZR stage attached to a vacuum tweezer to manipulate the top PDMS slab. The bottom PDMS slab sat on a rotational stage to conveniently align with the top part. The movement of the two slabs was observed by a monocular scope with a coaxial light source. As an illustration of the potential of this system for fast and low-cost multilayer microfluidic device production, we demonstrate the microfabrication of a 3D microfluidic chaotic mixer. A discussion on another alternative method for the fabrication of multiple height levels is also presented, namely the micromilling approach.

6 citations


Journal ArticleDOI
TL;DR: In this paper, a dual-view system that can compensate for camera instability by using a camera, a beam splitter, a convex lens, and a photomask is presented.

6 citations


Journal ArticleDOI
TL;DR: In this paper, the fabrication conditions for high-aspect-ratio microneedles by the photopolymerization of polyethylene glycol diacrylate (PEGDA) were investigated.
Abstract: Microneedles (MNs) are micron-sized needles that can penetrate the stratum corneum, enabling the non-invasive and painless administration of drugs and vaccines. In this work, fabrication conditions for high-aspect-ratio MNs by the photopolymerization of polyethylene glycol diacrylate (PEGDA) were investigated. Ultraviolet (UV) light was used to crosslink photocurable prepolymers in specific areas defined by a photomask. The aspect ratio of solidified MNs is too small to penetrate the stratum corneum if the degree of polymerization is insufficient. However, if the degree of polymerization is too high, a film is formed between the MNs by solidification of an undesired area owing to the scattering effect, reducing needle height. The influence of prepolymer molecular weight and the degree of UV absorption by the photoinitiator (PI) were studied to optimize the conditions for obtaining high-aspect-ratio MNs. Additionally, the effect of spacing ratio on high-aspect-ratio MNs without film formation has been discussed. A penetration test was conducted with porcine skin to analyze the effect of mechanical properties of MN. This study could guide the fabrication of MNs by the photopolymerization of biocompatible polymers with a photomask.

6 citations


Journal ArticleDOI
TL;DR: In this paper , a dual-view system that can compensate for camera instability by using a camera, a beam splitter, a convex lens, and a photomask is presented.

6 citations


Proceedings ArticleDOI
10 Nov 2022
TL;DR: In this paper , the authors implemented actinic EUV photomask inspection on a low-cost tabletop-scale setup using coherent diffractive imaging (CDI) and high harmonic generation (HHG) of ultrafast lasers.
Abstract: As EUV lithography transitions to high volume manufacturing, actinic inspection tools at 13.5 nm wavelength are attractive for understanding the printability of EUV mask defects, as well as for in-fab monitoring for possible defects emerging from extended use. Coherent diffractive imaging (CDI) is a lensless imaging technique that allows for phaseand-amplitude, aberration-free, high-resolution imaging in the EUV. Moreover, sources based on high harmonic generation (HHG) of ultrafast lasers are a proven viable coherent light source for CDI, with flux sufficient for rapid large-area inspection and small-area imaging. By combining CDI and HHG, we implemented actinic EUV photomask inspection on a low-cost tabletop-scale setup. Moreover, we propose and demonstrate a solution to the challenge of ptychographic imaging of periodic structures through careful illumination engineering.

5 citations


Proceedings ArticleDOI
13 Jun 2022
TL;DR: In this article , the authors discuss the key findings from simulations and experimental work to develop the high-NA lithography ecosystem (resist and patterning, mask technology) and highlight the key areas where development is needed.
Abstract: High-NA EUV lithography will improve resolution by increasing the EUV scanner NA from 0.33 to 0.55. To fully benefit from the resolution gain offered by the better scanner lens, it is key to develop and improve the EUV ecosystem. The role of the ecosystem is to ensure timely availability of the advanced resist materials, photomasks, metrology techniques, OPC/imaging strategies, and patterning techniques. In this context, in parallel to the EXE:5000 0.55 NA EUV scanner manufacturing, imec and ASML, together with our partners, are addressing the main challenges and needs towards High-NA ecosystem readiness. In this paper, we will discuss the key findings from simulations and experimental work to develop the high-NA lithography ecosystem (resist and patterning, mask technology) and highlight the key areas where development is needed.

5 citations


Journal ArticleDOI
TL;DR: In this article , a new methodology for high-precision and complete control of PDA deposition is presented, enabling PDA incorporation in applications where fine and precise local surface functionalization is required.
Abstract: Mussel‐inspired polydopamine (PDA) initiates a multifunctional modification route that leads to the generation of novel advanced materials and their applications. However, existing PDA deposition techniques still exhibit poor spatial control, have a very limited capability of micropatterning, and do not allow local tuning of the PDA topography. Herein, PDA deposition based on multiphoton lithography (MPL) is demonstrated, which enables full spatial and temporal control with nearly total freedom of patterning design. Using MPL, 2D microstructures of complex design are achieved with pattern precision of 0.8 µm without the need of a photomask or stamp. Moreover, this approach permits adjusting the morphology and thickness of the fabricated microstructure within one deposition step, resulting in a unique tunability of material properties. The chemical composition of PDA is confirmed and its ability for protein enzyme immobilization is demonstrated. This work presents a new methodology for high‐precision and complete control of PDA deposition, enabling PDA incorporation in applications where fine and precise local surface functionalization is required. Possible applications include multicomponent functional elements and devices in microfluidics or lab‐on‐a‐chip systems.

4 citations


Proceedings ArticleDOI
26 May 2022
TL;DR: In this paper , the effect of varying the neutral-ion-flux ratio on the etch bias was investigated in a double patterning Litho-Etch-Litho Etch (LELE) process using rigorous, physics-based three-dimensional computational models.
Abstract: A double patterning Litho-Etch-Litho-Etch (LELE) process developed in a test vehicle for Back End of Line manufacturing is investigated using rigorous, physics-based three-dimensional computational models. The wafer topography consists of TiN, SiO2, Spin-on-Carbon, Spin-on-Glass (SOG), over which the photoresist patterns are printed. The lithography step of the LELE flow is simulated using PROLITH, and the etching steps are simulated using ProETCH, a new dry etch simulator developed at KLA. Two clips that are spatially close to another and present in the photomask layout for the first and second lithography steps are considered to investigate the impact of etch process conditions on etch bias. We found that the final etch bias during the LELE processes is dominantly induced during the etch of SOG. The tapered profiles induced during the SOG etch process are due to the polymerization by CFx radicals produced in the plasma. The effect of varying the neutral-ion-flux ratio on the etch bias is investigated. Etch process development for SOG needs to balance multiple targets to avoid defect formation.

Journal ArticleDOI
TL;DR: In this article , two different methods for reference EUV photomask metrology are compared: critical dimension AFM (CD-AFM) and transmission electron microscopy (TEM).
Abstract: Abstract Accurate metrology of extreme ultraviolet (EUV) photomask is a crucial task. In this paper, two different methods for reference EUV photomask metrology are compared. One is the critical dimension atomic force microscopy (CD-AFM). In the measurements, the contribution of its AFM tip geometry is usually the dominant error source, as measured AFM images are the dilated results of measured structures by the AFM tip geometry. To solve this problem, a bottom-up approach has been applied in calibrating the (effective) AFM tip geometry where the result is traceably calibrated to the lattice constant of silicon crystals. The other is transmission electron microscopy (TEM). For achieving measurement traceability, structure features are measured in pairs in TEM images; thus the distance between the structure pair calibrated by a metrological AFM in prior can be applied to determine the magnification of the TEM image. In this study, selected photomask structures are calibrated by the CD-AFM, and then sample prepared and measured by high-resolution TEM nearly at the same location. The results are then compared. Of six feature groups compared, the results agree well within the measurement uncertainty, indicating excellent performance of the developed methodology. This research supports the development of a photomask standard, which is applied as a “reference ruler” with improved low measurement uncertainty in photomask fabs.

Journal ArticleDOI
TL;DR: In this article , an improved thick-mask model with high precision is proposed by exploring the edge interference effect that appears in the diffraction near-field (DNF), which can significantly improve the simulation accuracy compared to the traditional filter-based method while retaining a high computation speed.
Abstract: The thick-mask model had been used to simulate the diffraction behavior of the three-dimensional photomask in optical lithography system. By exploring the edge interference effect that appears in the diffraction near-field (DNF), an improved thick-mask model with high precision is proposed. The diffraction transfer matrix (DTM) is introduced to represent the transformation from the layout pattern to the corresponding DNF. In this method, the DTM is learned from a training library including the rigorous DNF of some representative mask clips. Given a thick-mask pattern, it is firstly decomposed into a set of segments around the sampling points at corners and edges. Then, the local DNF of each segment is calculated based on the corresponding DTM. Finally, all the local DNF segments are synthesized together to simulate the entire thick-mask DNF. The results show that the proposed method can significantly improve the simulation accuracy compared to the traditional filter-based method, meanwhile retaining a high computation speed.

Journal ArticleDOI
TL;DR: In this paper , a wide inner diameter column with an ordered macroporous structure is printed in three dimensions by stereolithography of poly(ethylene glycol diacrylate) resin.
Abstract: The current performance of commercially packed LC columns is limited by the random structure of the packed bed and by the wall-to-center heterogeneity of its structure. The minimum reduced plate heights observed are not smaller than 1.4 whereas they could theoretically be as low as 0.1 for dense and perfectly ordered packings of spheres. To bridge this gap, a wide inner diameter column with an ordered macroporous structure is printed in three dimensions by stereolithography of poly(ethylene glycol diacrylate) resin. Feature sizes below 100 μm are achieved by combining conventional polymer stereolithography with photolithography using photomasks. A layer-by-layer polymerization is performed by alternating two distinct photomasks having horizontally and vertically oriented patterns. Despite the inevitable printing imperfections, minimum reduced plate heights around unity are measured for non-retained analytes. The next challenges for the successful printing of highly efficient and large volume LC columns are threefold: reducing the feature size down to below 10 μm, keeping minimum the unevenness of the flow channel dimensions, and tackling additive manufacturing of silica aerogels at such small feature sizes for higher mechanical stability and broader range of retention/selectivity than those delivered by polymer materials. This article is protected by copyright. All rights reserved.

Journal ArticleDOI
TL;DR: In this paper , two different methods for reference EUV photomask metrology are compared: critical dimension AFM (CD-AFM) and transmission electron microscopy (TEM).
Abstract: Abstract Accurate metrology of extreme ultraviolet (EUV) photomask is a crucial task. In this paper, two different methods for reference EUV photomask metrology are compared. One is the critical dimension atomic force microscopy (CD-AFM). In the measurements, the contribution of its AFM tip geometry is usually the dominant error source, as measured AFM images are the dilated results of measured structures by the AFM tip geometry. To solve this problem, a bottom-up approach has been applied in calibrating the (effective) AFM tip geometry where the result is traceably calibrated to the lattice constant of silicon crystals. The other is transmission electron microscopy (TEM). For achieving measurement traceability, structure features are measured in pairs in TEM images; thus the distance between the structure pair calibrated by a metrological AFM in prior can be applied to determine the magnification of the TEM image. In this study, selected photomask structures are calibrated by the CD-AFM, and then sample prepared and measured by high-resolution TEM nearly at the same location. The results are then compared. Of six feature groups compared, the results agree well within the measurement uncertainty, indicating excellent performance of the developed methodology. This research supports the development of a photomask standard, which is applied as a “reference ruler” with improved low measurement uncertainty in photomask fabs.

Journal ArticleDOI
TL;DR: In this paper , photoresponsive polymers are designed based on the decrease in free volume induced by the photodimerization of cinnamoyl groups, which leads to wide practical applications with simple processes and low costs.
Abstract: Photoresponsive polymers, whose structures or properties change when exposed to ultraviolet (UV) light, have been studied for the fabrication of micro- and nano-devices for various applications. Herein, photoresponsive polymer films are investigated with directly micropatternable surfaces formed by only UV exposure without the development process using solvents. The photoresponsive polymer films are designed based on the decrease in free volume induced by the photodimerization of cinnamoyl groups. The photoresponsive polymers are synthesized by copolymerizing a monomer with photodimerizable groups and a poly(dimethylsiloxane) macromonomer. Micropatterns are easily formed on the film surfaces by only UV exposure using photomasks. The micropatterns are achieved due to the decrease in the film thickness with a decrease in the free volume induced by photo-crosslinking. Using a decrease in free volume by photo-crosslinking leads to wide practical applications with simple processes and low costs.

Journal ArticleDOI
TL;DR: In this paper , a maskless digital photolithography (MDPL) technique was used to generate a submicron pattern with irregular air holes in terms of their positions and sizes.
Abstract: Abstract Projection photolithography using an extreme-ultraviolet light source is the core technology that has enabled patterning on the scale of a few nanometers that is required for modern electronic chips. However, this high-end system is neither affordable nor needed for photonics where critical feature sizes are of 100s of nanometers (or of submicron). Although electron-beam lithography can provide a means for photonic device fabrication, it suffers from extremely low throughput. Therefore, a lithographic technique for submicron pattern generation at high throughput and low cost is in high demand. This group recently showed that maskless digital photolithography (MDPL), a convenient and versatile photolithographic technique that requires no photomask, could potentially address this demand by demonstrating photonic crystal (PhC) patterns with submicron periodicity and associated PhC band-edge lasers. In this paper, we report the fabrication of a PhC L3 cavity laser, which contains irregular air holes in terms of their positions and sizes, using the MDPL technique. Successful generation of such an aperiodic and nontrivial submicron pattern requires thorough understanding and scrupulous manipulation on light diffraction. Our achievements should provide the concrete foundation upon which compact, versatile, convenient, speedy, and economical lithographic tools for arbitrary submicron pattern generation can be developed.

Proceedings ArticleDOI
16 Sep 2022
TL;DR: In this article , a bright field EUV photomask with regular 1D line-space grid design and positive tone development (PTD) was used to detect SRAF printing on the surface or bottom of the photoresist.
Abstract: The common process window of EUV patterning is being limited when the 1-dimensional (1D) pitch shrinks to 32nm or below. There are many investigations and studies that propose an alternative EUV photomask absorber to mitigate photomask 3-dimensional (3D) topology effects and can partially mitigate the contrast fading effect and reduce through pitch best focus shift.1,2,3 Another method to counter photomask 3D effects, is sub-resolution assistant features (SRAFs). SRAF insertion is one possible way to create a dense optical environment, which will prevent strong best focus shift from semi-isolated to isolated features. However, the side effect of SRAF insertion is unwanted SRAF printing occurring on the surface or bottom of the photoresist.4 In order to predict the partial removal or small residues of photoresist after the lithographic development process, a flow of compact photoresist 3D modeling (R3D) in conjunction with stochastic modeling can be adopted. In this paper, a bright field EUV photomask with regular 1D line-space grid design and positive tone development (PTD) are considered. The SEM images of through pitch 1D structures with various sizes of SRAFs are collected. To quantify SRAF printing, pixel brightness is compared to resist-opened background area, the printing SRAF regions can then be identified and clustered. Compact resist stochastic modeling is also performed by line-width roughness (LWR) sampling and used to predict SRAFs printing pixels by using Average Printing Area (APA) method with R3D modeling.5 Therefore, not only severe SRAF printing events can be predicted well, but also the accurate prediction of SRAF printing with very low probabilities can also be achieved.

Journal ArticleDOI
TL;DR: In this paper , a reverse-mode polymer-stabilized liquid crystal (PSLC) film with polymer walls was prepared, which showed excellent stability of electro-optical properties even after 150,000 cycles.
Abstract: Reverse-mode polymer-stabilized liquid crystal (PSLC) films have wide applications in smart windows for cars as well as buildings and dimming glasses due to their low haze, low energy consumption, and better safety in case of emergency power off. However, PSLC films usually have poor stability of electro-optical properties due to their low polymer content (ca. 5 wt %), and it still remains a challenging task to improve the stability and processability by increasing the polymer content in PSLC as the driving voltage might dramatically increase. In this work, a reverse-mode PSLC film with polymer walls was prepared, which showed excellent stability of electro-optical properties even after 150 000 cycles. The film was prepared through polymerization with a photomask, in which the monomers concentrated on specific areas to form patterned polymer walls. In this way, the polymer content could be increased dramatically and the anchoring effect would not be too strong, thus avoiding a sharp increase in the driving voltage. As a result, the desired reverse-mode film with high stability, relatively low driving voltage, and high contrast ratio was obtained. The effects of monomer compositions, curing temperature, UV light intensity, and the pattern of the photomask on the microstructures, as well as electro-optical performances of the films were carefully studied. This work provides a new idea for the preparation of reverse-mode electrically switchable light-transmittance controllable films with excellent stability and good electro-optical performance, which would broaden their application in smart cars, building windows, and dimming glasses for light management and potential energy saving.

Journal ArticleDOI
TL;DR: In this article , a masking method for SU8 based soft lithography is presented, which utilizes a simple optical setup using transparencies printed with a commercial standard office printer.
Abstract: Abstract Presented herein is a masking method for SU8 based soft lithography which utilizes a simple optical setup using transparencies printed with a commercial standard office printer. The relatively low printing quality of the printer is partly overcome by imaging of the mask with negative magnification that utilizes relatively cheap and easily available parts. The imaging quality as well as the quality of the resulting SU8 master is assessed by means of microscopic imaging.

Journal ArticleDOI
TL;DR: Azobenzene polymers can be used to guide the flow of solid polymers along the direction of light polarization via an unusual solid-to-liquid transition, allowing for the fabrication of complex structures using light as discussed by the authors .
Abstract: In current photo-based patterning techniques, an image is projected onto a photosensitive material to generate a pattern in the area where the light is focused. Thus, the size, shape, and periodicity of the pattern are determined by the features on the photomask or projected images, and the materials themselves generally do not play an active role in changing the features. In contrast, azobenzene polymers offer a unique type of photopatterning platform, where photoisomerization of the azobenzene groups can induce substantial material movements at the molecular, micro-, and macroscales. Stable surface relief patterns can be generated by exposure to interference light beams. Thus, periodic nanoand microstructures can be fabricated with both twoand three-dimensional spatial control over a large area in a remarkably simple way. Polarized light can be used to guide the flow of solid azobenzene polymers along the direction of light polarization via an unusual solid-to-liquid transition, allowing for the fabrication of complex structures using light. This review summarizes the recent progress in advanced manufacturing using azobenzene polymers. This includes a brief introduction of the intriguing optical behaviors of azobenzene polymers, followed by discussions of the recent developments and successful applications of azobenzene polymers, especially in microand nanofabrication.

Journal ArticleDOI
TL;DR: In this paper , a novel DMD maskless lithography method was proposed to improve the pattern quality during high-speed continuous patterning by means of pulse exposure and oblique scanning processes.
Abstract: Maskless lithography based on a digital micromirror device (DMD) has the advantages of high process flexibility and a low production cost. However, due to the trade-off relationship between the pixel size and exposure area, it is challenging to achieve high resolutions and high patterning speeds at the same time, which hinders the wider application of this technology in micro- and nano-fabrication processes. In addition, micromirrors in DMDs create pixelated edges that limit the pattern quality. In this paper, we propose a novel DMD maskless lithography method to improve the pattern quality during high-speed continuous patterning by means of pulse exposure and oblique scanning processes. A unique criterion, the pixel occupancy, was devised to determine the parameters related to the pulse exposure and oblique scanning optimally. We also studied how the duty cycle of the pulse exposure affects the pattern quality. As a result, we were able to increase the scanning speed up to the speed limit considering the damage threshold of the DMD and improve the pattern quality by resolving the pixelation problem. We anticipate that this method can be used in various microfabrication fields with short product life cycles or in those that require custom designs, such as the manufacturing of PCBs, MEMS devices, and micro-optics devices, among others.

Journal ArticleDOI
TL;DR: In this paper , an accurate model based on Hopkin's image formulation and fully convolutional networks (FCN) was used to control the critical dimension (CD) patterning of a near-infrared (NIR) metalens through a distributed optical proximity correction (OPC) flow using i-line photolithography.
Abstract: High pattern fidelity is paramount to the performance of metalenses and metasurfaces, but is difficult to achieve using economic photolithography technologies due to low resolutions and limited process windows of diverse subwavelength structures. These hurdles can be overcome by photomask sizing or reshaping, also known as optical proximity correction (OPC). However, the lithographic simulators critical to model-based OPC require precise calibration and have not yet been specifically developed for metasurface patterning. Here, we demonstrate an accurate lithographic model based on Hopkin's image formulation and fully convolutional networks (FCN) to control the critical dimension (CD) patterning of a near-infrared (NIR) metalens through a distributed OPC flow using i-line photolithography. The lithographic model achieves an average ΔCD/CD = 1.69% due to process variations. The model-based OPC successfully produces the 260 nm CD in a metalens layout, which corresponds to a lithographic constant k1 of 0.46 and is primarily limited by the resolution of the photoresist. Consequently, our fabricated NIR metalens with a diameter of 1.5 mm and numerical aperture (NA) of 0.45 achieves a measured focusing efficiency of 64%, which is close to the calculated value of 69% and among the highest reported values using i-line photolithography.

Journal ArticleDOI
TL;DR: In this paper , the enzymatic activity of nanoscale arrays bearing the oxidase CotA that are produced by two lithographic methods: polymer pen lithography (PPL), a scanning probe lithography for small area fabrication (≈1 cm2); and stepper photolithography, a large scale method (>300 cm2) used in the microelectronics sector.
Abstract: This study compares the enzymatic activity of nanoscale arrays bearing the oxidase CotA that are produced by two lithographic methods: polymer pen lithography (PPL), a scanning probe lithography for small‐area fabrication (≈1 cm2); and stepper photolithography, a large‐scale method (>300 cm2) used in the microelectronics sector. In both cases, arrays of 600 nm gold features are produced and functionalized with CotA through a bioconjugation method that gives uniform protein orientation. The enzyme activity of these arrays is then quantified over 100 days. The enzyme arrays produced by photolithography give higher oxidation activities immediately after fabrication but degrade more rapidly when compared to those produced by PPL. This result is due to the poorer passivation on the bulk surface of photolithographically produced arrays, resulting in a greater amount of non‐specifically adsorbed enzymes. However, once the results are adjusted to account for the differences in passivation and surface area, it is found that the enzymes immobilized on the gold features give essentially the same activity regardless of the lithographic method used. Thus, these results suggest PPL is a suitable method for prototyping biodevices prior to scale‐up, provided that due consideration is given to the design of the fabricated features.

Journal ArticleDOI
TL;DR: In this article , the authors compared the photolithography and light-cured inkjet printing methods of methacryloyl hyaluronic acid hydrogel (HAMA-gel) to those for synthetic lightcurable polymer resins.
Abstract: Abstract The microstructure design of hydrogel materials offers a broad range of practical applications and is extensively used in flexible sensors, polymer microneedles, microfluidic chips, and other biomedical engineering fields. Among the bio-sourced hydrogels, oligomeric hyaluronic acid (HA) possesses wound healing, anti-tumor, and angiogenesis properties. However, micropatterning soft hydrogels, such as HA-relative hydrogels containing 90% water by weight, continue to pose difficulties for both high precision and micro-scale lithography. The purpose of this study was to compare the photolithography and light-cured inkjet printing methods of methacryloyl HA hydrogel (HAMA-gel) to those for synthetic light-curable polymer resins. Photolithography and light-cured inkjet printing methods with designed scale, high resolution, and little processing times were used to effectively prepare micropatterns of HAMA-gel. The well-shaped micropatterns consisted of parallel channels in tens of micrometers and strip/grid lines in the hundreds of micrometers. Human vein endothelial cells cultured on the material’s surface demonstrated that HAMA-gel had good biocompatibility. The width of the flow channel (10 and 20 µm) was regulated on the surface of the microstructure to allow for simultaneous control of cell growth along the flow channel and groove directions.

Journal ArticleDOI
TL;DR: In this paper , a photolithography strategy for constructing complex 3D shape-changing hydrogels by simultaneously modulating anisotropic microstructures and internal stress fields of gel sheets is presented.
Abstract: The 3D shape‐changing hydrogels are highly pursued for numerous applications. However, up to now, the construction of complex 3D shape‐changing hydrogels remains a challenge. The reported design strategies are mainly applied to fabricate 2D ones by introducing anisotropic microstructures into hydrogel sheets/membranes. Herein, we present a convenient photolithography strategy for constructing complex 3D shape‐changing hydrogels by simultaneously modulating anisotropic microstructures and internal stress fields of gel sheets. When the precursor solution containing ultraviolet (UV) absorber is irradiated by single‐side UV light, the attenuated polymerization rate can cause the generation of asymmetric internal stress field in the resulting hydrogel sheet. In the meantime, the directional diffusion of unpolymerized monomers allows for the formation of vertical gradient structure within hydrogel. Therefore, by applying different photomasks to modulate the local gradient structures and internal stress fields of the gel sheets, they can spontaneously transform into various complex 3D shape‐changing hydrogels in the air. Response to the external stimuli, these 3D shape‐changing hydrogels (e.g., fighter plane, birdie, and multi‐storey origami lattices) can deform in a novel 3D1‐to‐3D2‐to‐3D3 mode. This new design strategy contributes to the development of complex biomedical implants and soft robotics.

Proceedings ArticleDOI
26 May 2022
TL;DR: In this paper , the AIMS® EUV High NA tool is shown together with quantitative analysis of 0.55NA anamorphic imaging properties, and the challenges of providing two intrinsically diverse emulation types in one single platform are described together with the solutions which were implemented and tested.
Abstract: The road towards the next technology leap in EUV lithography is set. ASML and ZEISS have designed and started manufacturing the next generation EUV exposure tool. With a wafer side NA of 0.55, the High NA scanner system will support the further pattern node scaling roadmap by improving image contrast and therewith reduce LCDU and defect print rate on product wafer. As for all major steps in the lithography evolution, the whole infrastructure will develop further in order to support this next quantum leap in EUV technology, with the EUV photomask technologies and metrology tools representing an essential piece of the mosaic. The AIMS® EUV system represents a unique piece of the EUV mask infrastructure for the qualification of the mask printing performance in the aerial image. The AIMS® aerial image is by design targeted to match the scanner aerial image, as the tool is engineered to emulate all imaging relevant scanner properties, e.g., mask side NA, through slit chief-ray characteristics, aberration level, illumination schemes. For the emulation of the High NA scanner, ZEISS developed and started manufacturing an upgrade for the current existing 0.33NA AIMS® EUV platform. The same AIMS® EUV system is therefore capable of imaging 0.33NA isomorphic as well as 0.55NA anamorphic masks, providing the best-in-class performance for both imaging technologies and optimal match to scanner imaging. In this paper the first imaging results of the AIMS® EUV High NA tool are shown together with quantitative analysis of 0.55NA anamorphic imaging properties. The challenges of providing two intrinsically diverse emulation types (0.33NA isomorphic and 0.55 anamorphic) in one single platform are described together with the solutions which were implemented and tested.

Journal ArticleDOI
TL;DR: In this article , a twisted nematic liquid crystal polarization grating (TNLCPG) cell was designed to convert linearly polarized light into a light field with spatially varying linear polarization and exhibits broadband operation over the entire visible spectrum.
Abstract: Liquid crystal Pancharatnam–Berry phase optical elements (LCPBOEs) are becoming increasingly attractive elements to be used to enhance near-eye displays or manipulate light beams, owing to their flatness and circular polarization-dependent behavior. The optical imprinting technique that is one of the commonly used methods for fabricating LCPBOEs is considered to be the most promising technique for mass production at a low cost, but the design of the photomask must be improved. In this work, a photomask that is based on a twisted nematic liquid crystal polarization grating (TNLCPG) cell, acting as a broadband linear polarization rotator, is designed. This TNLCPG cell, with a predefined alignment profile of a polarization grating, can convert linearly polarized light into a light field with spatially varying linear polarization and exhibits broadband operation over the entire visible spectrum. The designed TNLCPG photomask is used to demonstrate a liquid crystal polarization grating (LCPG) film using a white flashlight as a writing source. A high diffraction efficiency of greater than 95% is obtained. With its simple design and fabrication, the proposed TNLC-based photomask can potentially be used in the fabrication of LCPBOEs.

Proceedings ArticleDOI
11 Nov 2022
TL;DR: In this paper , a pellicle composited of the several layers has above 90% transmittance and processes 10K wafers at more than 400W power, which is the state-of-the-art in high volume manufacturing.
Abstract: Extreme ultraviolet lithography (EUVL) has been receiving considerable attention in the semiconductor industry for mass-produce high-resolution patterns of 10 nm or lower. In high volume manufacturing (HVM), the pellicle is an essential component to protect an expensive photomask from contamination of particles so that cost and yield of EUVL can be improved. As the EUVL has been dramatically developed, the pellicle has to be a very thin enough to transmit EUV light and has to withstand above 400W power. In addition, it must have the hydrogen radical durability for long pellicle lifetime. In this work, a full size (110×144 mm2) pellicle is manufactured as shown in Fig.1. The pellicle composited of the several layers has above 90% transmittance and processes 10K wafers at more than 400W power. The change of pellicle components before and after EUV exposure is evaluated by Rutherford backscattering spectroscopy (RBS). Furthermore, advanced pellicle with 92% transmittance and above 600W of power is under developing.

Journal ArticleDOI
07 Apr 2022
TL;DR: In this paper , three mask candidates were considered: a standard binary Ta-based absorber mask, a high extinction mask, and a low-n attenuated phase shift mask (attPSM).
Abstract: Extending 0.33NA extreme ultraviolet (EUV) single patterning to pitch 28 nm will enable significantly shorter process flow for N2 node and cost-efficiency of metal layers patterning. At the same time, EUV single patterning becomes very challenging in terms of stochastic defectivity and process window. To enable EUV single patterning at pitch 28 nm with good process window and patterning fidelity (low defectivity and line edge roughness), three mask candidates are considered: a standard binary Ta-based absorber mask, a high extinction (high-k) absorber mask, and a low-n attenuated phase-shift mask (attPSM). The patterning performance of these three mask candidates is compared by means of source mask optimization. The patterning performance of the candidate masks is assessed using an imec N3 (foundry N2 equivalent) random logic M1 layout. The impact of mask tonality (bright field versus dark field) and insertion of sub-resolution assist features (SRAFs) on pattern fidelity and process window is evaluated. Considering all the aspects, simulations indicate that the low-n attPSM has the best patterning performance both for dark-field mask with SRAFs and bright-field mask without SRAFs.