scispace - formally typeset
Search or ask a question

Showing papers on "Physical design published in 1985"


Book
01 Jan 1985
TL;DR: CMOS Circuit and Logic Design: The Complemenatry CMOS Inverter-DC Characteristics and Design Strategies.
Abstract: Introduction to CMOS Circuits. Introduction. MOS Transistors. MOS Transistor Switches. CMOS Logic. Circuit Representations. CMOS Summary. MOS Transistor Theory. Introduction. MOS Device Design Equation. The Complemenatry CMOS Inverter-DC Characteristics. Alternate CMOS Inverters. The Differential Stage. The Transmission Gate. Bipolar Devices. CMOS Processing Technology. Silicon Semiconductor Technology: An Overview. CMOS Technologies. Layout Design Rules. CAD Issues. Circuit Characterization and Performance Estimation. Introduction. Resistance Estimation. Capacitance Estimation. Inductance. Switching Characteristics. CMOS Gate Transistor Sizing. Power Consumption. Determination of Conductor Size. Charge Sharing. Design Margining. Yield. Scaling of MOS Transistor Dimensions. CMOS Circuit and Logic Design. Introduction. CMOS Logic Structures. Basic Physical Design of Simple Logic Gates. Clocking Strategies. Physical and Electrical Design of Logic Gates. 10 Structures. Structured Design Strategies. Introduction. Design Economics. Design Strategies. Design Methods. CMOS Chip Design Options. Design Capture Tools. Design Verification Tools. CMOS Test Methodolgies. Introduction. Fault Models. Design for Testability. Automatic Test Pattern Generation. Design for Manufacturability. CMOS Subsystem Design. Introduction. Adders and Related Functions. Binary Counters. Multipliers and Filter Structures. Random Access and Serial Memory. Datapaths. FIR and IIR Filters. Finite State Machines. Programmable Logic Arrays. Random Control Logic.

1,207 citations


Journal ArticleDOI
TL;DR: Given the layout of an IC, a fault model and a ranked fault list can be automatically generated which take into account the technology, layout, and process characteristics.
Abstract: Inductive Fault Analysis (IFA) is a systematic Procedure to predict all the faults that are likely to occur in MOS integrated circuit or subcircuit The three major steps of the IFA procedure are: (1) generation of Physical defects using statistical data from the fabrication process; (2) extraction of circuit-level faults caused by these defects; and (3) classification of faults types and ranking of faults based on their likelihood of occurrence Hence, given the layout of an IC, a fault model and a ranked fault list can be automatically generated which take into account the technology, layout, and process characteristics. The IFA procedure is illustrated by its applications to an example circuit. The results from this sample led to some very interesting observations regarding nonclassical faults.

487 citations


Proceedings ArticleDOI
Michael Burstein1, Mary N. Youssef1
01 Jun 1985
TL;DR: This work presents a new approach to the automatic layout design for VLSI chips which incorporates timing information to influence the placement and wiring processes, and adds a third phase of timing to the hierarchy without affecting the computational complexity of the basic algorithm.
Abstract: We present a new approach to the automatic layout design for VLSI chips which incorporates timing information to influence the placement and wiring processes. This approach is an extension of the hierarchical layout method, in which placement and wiring are performed simultaneously [1]. We add a third phase of timing to the hierarchy, without affecting the computational complexity of the basic algorithm. Prior to the physical design, timing analysis is performed using statistical estimates for the unknown parameters; namely the lengths of interconnecting wires. The output of this analysis includes a measure for each net that indicates the degree of its contribution to the timing problem. This set of measures is used to bias the placement at the highest level of the hierarchy. Since wiring is performed after each level of partitioning, lengths of interconnecting nets among the partitions become available. These data are used to update the timing information that bias the design. Preliminary results show that, while delays due to interconnections are reduced, wireability of the chip does not deteriorate.

134 citations


Journal ArticleDOI
TL;DR: An integrated CAD system for the automated design of digital signal-processing circuits for audio and telecommunication applications is described, which uses as unique input a symbolic description of algorithm to translate into an actual layout using a two-step process.
Abstract: An integrated CAD system for the automated design of digital signal-processing (DSP) circuits for audio and telecommunication applications is described. The system uses as unique input a symbolic description of algorithm. This representation is translated into an actual layout using a two-step process. First, the symbolic input is mapped into the target architecture, which consists basically of a set of concurrent processors and dedicated I/O circuitry. The resulting hardware configuration is compiled into a layout description through a full exploitation of the hierarchy and the modularity of the architecture, calling consecutively a tiler, a floorplanner, and a global placement and routing tool. All these layout generation tools are able to support a wide range of technologies. The provision of a dedicated register transfer level simulator allows for the efficient debugging and algorithmic checking of the real-time operating signal-processing algorithms. The efficiency and the usefulness of this design methodology has been demonstrated by multiple examples. Experiments have shown that the use of these techniques can reduce the complete design process to a few months.

65 citations


Book
01 Jan 1985
TL;DR: One that the authors will refer to break the boredom in reading is choosing vlsi circuit layout theory and design as the reading material.
Abstract: Introducing a new hobby for other people may inspire them to join with you. Reading, as one of mutual hobby, is considered as the very easy hobby to do. But, many people are not interested in this hobby. Why? Boring is the reason of why. However, this feel actually can deal with the book and time of you reading. Yeah, one that we will refer to break the boredom in reading is choosing vlsi circuit layout theory and design as the reading material.

64 citations


Journal ArticleDOI
Shahdad1, Lipsett, Marschner, Sheehan, Cohen 
TL;DR: The authors present a time-based execution model and describe VHDL's features, using a coded four-bit adder to illustrate the use of the most significant ones and describe the concept of design entity, the language's primary abstraction mechanism.
Abstract: In March 1980, the US Department of Defense (DoD) launched the Very High Speed Integrated Circuits program to advance the state of the art in highspeed integrated circuit technology, specifically for defense systems. In 1981 the Institute for Defense Analyses (IDA) arranged a workshop to define the requirements for such a standard. The DoD used the final report of the IDA workshop as a basis for defining a set of language requirements for the VHSIC Hardware Description Language (VHDL), issuing a request for proposal for a two-phase procurement of VHDL and its support environment. VHDL supports the design, documentation, and efficient simulation of hardware from the digital system level to the gate level. While designed to be independent of any underlying technology, design methodology, or environment tool, the language is also extendable toward various hardware technologies, design methodologies, and the varying information needs of design automation tools. The authors begin their discussion of VHDL by describing the concept of design entity, the language's primary abstraction mechanism. They then present a time-based execution model and describe VHDL's features, using a coded four-bit adder to illustrate the use of the most significant ones. Various figures are presented that contain the block diagrams and the code for this example.

64 citations


Journal ArticleDOI
TL;DR: Early results lead us to believe that a knowledge-based expert system is an appropriate tool for bridging the gap between logical and physical design.
Abstract: Our ability to fabricate complex VLSI chips is outrunning our ability to design them. To reduce the design time for complex chips we are creating an expert design assistant?programs that automate as many of the design tasks as possible while allowing the human designer to control the synthesis process. A series of programs translates an algorithm into a chip layout. Some programs are expert systems while others use traditional algorithms. Our early results lead us to believe that a knowledge-based expert system is an appropriate tool for bridging the gap between logical and physical design.

56 citations


Journal ArticleDOI
TL;DR: The design aspects and methodology from concept to manufacture of standard cell VLSI circuits are covered and a broad treatment of what design aids are available to help designers get their jobs done efficiently and reliably is provided.
Abstract: The design aspects and methodology from concept to manufacture of standard cell VLSI circuits are covered. A broad treatment of the subject and of what design aids are available to help designers get their jobs done efficiently and reliably is provided. The treatment is directed toward the new VLSI designer.

33 citations


Journal ArticleDOI
TL;DR: The effects of corners and T-junctions are analyzed using finite elements and some models are presented which can be used by circuit designers to simulate circuit performance.
Abstract: When interconnects for integrated circuits have been modeled, it has been normal to consider them only as straight tracks. In any practical circuit this is not the case and a more rigorous analysis is performed by the authors. The effects of corners and T-junctions are analyzed using finite elements and some models are presented which can be used by circuit designers to simulate circuit performance.

24 citations



Patent
04 Dec 1985
TL;DR: In this paper, a self-test design for an integrated circuit including a combinatorial logic circuit, a first register coupled to an output of the combinatorially logic circuit and a feedback path via which output signals from the first register are fed back to an input of the circuit, is presented.
Abstract: An integrated circuit having a built-in self test design, the integrated circuit including a combinatorial logic circuit, a first register coupled to an output of the combinatorial logic circuit and a feedback path via which output signals from the first register are fed back to an input of the combinatorial logic circuit. A multiplexer is provided between the first register and the feedback path, and there is also provided a second register responsive to a signal which is originated to initiate a test function for feeding test signals via the multiplexer and the feedback path to the input of the combinatorial logic circuit.

Journal ArticleDOI
TL;DR: A novel approach to device modeling for circuit simulation of integrated circuits is presented based on general nonlinear network models in tensor product spline representation, which is particularly suitable for circuit design in quickly changing technological environments and for submicron VLSI.
Abstract: A novel approach to device modeling for circuit simulation of integrated circuits is presented. This approach is based on general nonlinear network models in tensor product spline representation. As a consequnce this device modeling approach is technology independent and automatable. Tensor product spline representations of nonlinear branch elements are particularly useful, since the controlling variables are typically directionally dominant and only low rank tensors are needed. The basic properties of splines are reviewed for circuit simulation applications. Device model generation, software implementation, user interfaces and the circuit simulation environment within SPICE are described in detail. Realistic comparisons with conventional device models are given. The approach has been applied to several integrated circuit technologies (1/2-μm silicon MESFET logic, 7-μm CMOS/SOS logic, and 5-μm metal gate bulk silicon CMOS logic). Device models and simulated circuit results are in all cases in excellent agreement with measured device and circuit characteristics. This novel device modeling approach is particularly suitable for circuit design in quickly changing technological environments and for submicron VLSI.

Journal ArticleDOI
Todd J. Wagner1
TL;DR: A hierarchical cell structure that has been used successfully to improve the performance of Intel's connectivity verifier and design rule checker is presented and a unique algorithm for performing design rule checks efficiently in a hierarchical environment is discussed in detail.
Abstract: This article presents a hierarchical cell structure that has been Used successfully to improve the performance of Intel's connectivity verifier and design rule checker. A unique algorithm for performing design rule checks efficiently in a hierarchical environment is discussed in detail. To undersize and oversize in a hierarchical environment without disrupting the cell structure, the definition of sizing must be changed so that geometries inside a cell and touching the cell boundaries do not pull away and geometries outside the cell do not extend inside. There are also a few Pathologies?caused mostly by looking at only a small portion of the layout, outside of the context where it is used. Nevertheless, careful use of hierarchical design can deliver order-of-magnitude improvements in layout checking runtime.

Journal ArticleDOI
TL;DR: A unified design language, HSL-FX, has been developed to broaden LSI design system coverage and to obtain better results from automatic logic synthesis.
Abstract: Our Integrated Design Automation System consists of an integrated design database, automated design processors, verification tools, and an interactive capture system. The automatic logic synthesis program, Angel, and the hierarchical layout system Champ/Alpha, have been particularly important in reducing the total design effort. A unified design language, HSL-FX, has been developed to broaden LSI design system coverage and to obtain better results from automatic logic synthesis. Using this system, a 10K-gate CPU has been designed in two man-months?from function-level description to layour pattern.

Journal ArticleDOI
TL;DR: In this paper, a simple technique for estimating the cost of test and repair is described and used to illustrate that these costs are a significant percentage of the overall cost of assembly and that adding the costs of test/repair to labor and equipment charges can drastically change the break-even points between manual and robotic assembly.

Proceedings ArticleDOI
Ellen J. Yoffa1, Peter Swift Hauge1
01 Jun 1985
TL;DR: A DCVS customization procedure that exploits the fact that a Boolean function has more than one physical realization, and a group of three interconnected macros comprising approximately 800 DCVS trees are described.
Abstract: Differential cascode voltage switch (DCVS) trees are high performance, high functionality CMOS circuits, which, because they have a large number of inputs and internal connections, are difficult to wire on a large scale. Wirability is substantially improved by local customization at the tree level. This paper describes a DCVS customization procedure that exploits the fact that a Boolean function has more than one physical realization. Using ACORN, an automated physical design system based on this concept, we have placed and wired several designs, the largest of which is a group of three interconnected macros comprising approximately 800 DCVS trees.

Journal ArticleDOI
TL;DR: This integrated circuit has been designed to be suitable for both isolated word recognition and connected speech recognition and has been tested in a pilot isolated-word and connected-speech recognition system.
Abstract: Many speech recognition systems contain the foUowing functional blocks: a voice input circuit, a feature extractor (analyzer), a unit for calculating the distance between input and standard patterns at every frame, a memory for storing standard patterns, a unit for matching whole word patterns (a pattern matching circuit), and a final decision and system control circuit. The pattern matching circuit is independent of the recognition algorithm and requires many conventional integrated circuits for implementation. Therefore, we decided to develop a custom integrated circuit for the pattern matching function. Due to its original architecture, our integrated circuit is able to execute in real time a continuous nonlinear matching process and is able to handle large volumes of data. This integrated circuit has been designed to be suitable for both isolated word recognition and connected speech recognition. The circuit has been tested in a pilot isolated-word and connected-speech recognition system. In this paper, the authors describe this integrated circuit's specifications, architecture, and performance, as well as its application in the model system.


Proceedings ArticleDOI
Yiwan Wong1
01 Jun 1985
TL;DR: A connectivity verification algorithm which exploits circuit hierarchy is presented and works most efficiently with big circuits and is therefore useful for verifying VLSI circuits.
Abstract: One of the crucial steps in designing VLSI circuits is to verify the correctness of the layout of the circuitry. Traditionally, this verification step is done by first flattening out the circuit hierarchy. This approach requires a substantial amount of computational overhead even for circuits that are relatively small. In this paper, a connectivity verification algorithm which exploits circuit hierarchy is presented. This algorithm works most efficiently with big circuits and is therefore useful for verifying VLSI circuits.

Proceedings ArticleDOI
01 Jun 1985
TL;DR: This paper describes the VIVID (Vertically Integrated VLSI Design) System developed at the Microelectronics Center of North Carolina, which is based on a symbolic, virtual-grid design methodology that greatly reduces the design time for custom V LSI circuits.
Abstract: This paper describes the VIVID (Vertically Integrated VLSI Design) System developed at the Microelectronics Center of North Carolina. The system is based on a symbolic, virtual-grid design methodology that greatly reduces the design time for custom VLSI circuits. This methodology has made it possible to provide, in a single integrated system, several unique features: technology independent tools for a wide range of MOS processes (CMOS, nMOS, SOI); scale independent circuit designs; open architecture that simplifies both integration with existing tools and creation of new tools; fast layout debugging using symbolic level circuit simulation; and fully automated mask generation and automated chip assembly.

Proceedings ArticleDOI
01 Jun 1985
TL;DR: The hardware and software of a system which is implemented to accelerate the physical design of gate arrays is to augment a single-user host workstation with a general-purpose microprogrammable slave processor having a large private memory.
Abstract: In this paper we describe the hardware and software of a system which we have implemented to accelerate the physical design of gate arrays. In contrast to nearly all other reported approaches, our approach to hardware acceleration is to augment a single-user host workstation with a general-purpose microprogrammable slave processor having a large private memory. One or more such slaves can be attached. We have implemented placement improvement on the system, achieving a 20 x speedup vs. a high-level host implementation. We give performance results, which are comparable to those reported elsewhere for mainframe implementations.

Journal ArticleDOI
S. C. Seth, V. D. Agrawal1
TL;DR: New techniques promise to hold down costs by tackling the circuit-testing problem in the design stage by using computer programs that assess during design how easily a circuit can be tested, scan-design techniques for testing sequential circuitry, and ways of partitioning chips into blocks of manageable size for testing.
Abstract: Testing now accounts for 10% of the total cost of manufacturing a 1-kb random-access-memory chip. For a 64K RAM chip, the figure rises to 40%. New techniques, however, promise to hold down costs by tackling the circuit-testing problem in the design stage. The new methods include computer programs that assess during design how easily a circuit can be tested, scan-design techniques for testing sequential circuitry, and ways of partitioning chips into blocks of manageable size for testing. Random testing and built-in self-testing are also employed in some cases to avoid exhaustive testing for every possible fault in a circuit. These new methods are described.

Journal ArticleDOI
01 Jun 1985
TL;DR: Software simulations of faults in simple NMOS logic circuits are described showing that not all fault effects in NMOS circuits are modellable as ‘stuck’ nodes, indicating an improved fault model which would better reflect MOS fault effects has yet to be defined.
Abstract: VLSI circuits currently being designed are so complex that it is now extremely difficult to test them adequately to determine whether or not they have been processed correctly. Design for testability (DFT) techniques are often used in an attempt to ease this problem by identifying and redesigning potentially ‘difficult-to-test’ parts of the circuits. The ‘testability’ of the circuit is usually evaluated in terms of the stuck-at fault model. However, there have been growing doubts over the ability of this model to cover certain common faults that can occur in MOS processing (at present, the dominant VLSI technology). The paper describes software simulations of faults in simple NMOS logic circuits showing that not all fault effects in NMOS circuits are modellable as ‘stuck’ nodes. An improved fault model which would better reflect MOS fault effects has yet to be defined. Until such an improved model is available, DFT rules for MOS circuits are best regarded as provisional. We therefore conclude with a discussion of ad hoc ‘physical design for testability’ techniques that exploit current understanding of the relation between MOS faults and their fault effects.

Patent
18 Sep 1985
TL;DR: In this paper, the authors describe a process in which, during the fabrication of an integrated circuit, steps of the process are dynamically augmented, so as to fabricate the integrated circuit at wafer locations which avoid the presence of circuit-killing particulates.
Abstract: In the manufacture of an integrated circuit, steps of the process are dynamically augmented, so as to fabricate the integrated circuit at wafer locations which avoid the presence of circuit-killing particulates. During the respective steps of fabrication, the components employed in the process are scanned to locate and identify particulates. This information is compared with a previously defined component/interconnect layout to determine whether the particulates reside at locations that will not detrimentally impact the completed circuit or whether further processing will incorporate the defect into the circuit and render it effectively useless. In this latter circumstance the intended geometries of the circuit are modified, so as to effectively rearrange or shift prescribed components (e.g. semiconductor regions, contact apertures, interconnect tracks) to a location of the wafer which are not coincident with the location of the particulates.

01 Feb 1985
TL;DR: This thesis explores the issues involved in developing a framework for circuit simulation which exploits the locality exhibited by circuit operation to achieve a high degree of parallelism, and designed and implemented the circuit simulator PRISM.
Abstract: Integrated circuit technology has been advancing at a phenomenal rate over the last several years, and promises to continue to do so. If circuit design is to keep pace with fabrication technology, radically new approaches to computer-aided design will be necessary. One appealing approach is general purpose parallel processing. This thesis explores the issues involved in developing a framework for circuit simulation which exploits the locality exhibited by circuit operation to achieve a high degree of parallelism. This framework maps the topology of the circuit onto the multiprocessor, assigning the simulation of individual partitions to separate processors. A new form of synchronization is developed, based upon a history maintenance and roll back strategy. The circuit simulator PRISM was designed and implemented to determine the efficacy of this approach. The results of several preliminary experiments are reported, along with an analysis of the behavior of PRISM.

Journal ArticleDOI
F. N. Graff1, C. E. Jeschke1, C. R. Komp1, B. E. Nevis1, D. W. Zdan1 
TL;DR: A comprehensive description of the system and equipment designs, with particular emphasis on the advanced interconnection technology used, such as the fine-line, multilayer, printed wiring boards; ceramic substrates for functional modules; and high-performance, device-level packaging.
Abstract: The reliability, availability, and versatility of the 5ESS™ switch are highly dependent on the physical design of the hardware. We present a comprehensive description of the system and equipment designs, with particular emphasis on the advanced interconnection technology used, such as the fine-line, multilayer, printed wiring boards; ceramic substrates for functional modules; and high-performance, device-level packaging. The system design features described include new cabinet and office arrangements, the use of Fastech™ equipment, and new power and alarm systems. The office layout is flexible to allow growth in any size installation, and the modular architecture of the 5ESS switch makes it easy to install. We also present various testing and installation methods and summarize the physical design requirements and objectives for all equipment in the 5ESS system.

01 Jan 1985
TL;DR: Initial results indicate that data-driven multiprocessors, working with a conventional host, can provide performance improvement for electrical circuit simulation limited only by the size and structure of the circuit under analysis.
Abstract: Accurate electrical simulation is critical to the design of high-performance integrated circuits. Logic simulators can verify function and give first-order timing information. Switch-level simulators are more effective at dealing with charge-sharing than standard logic simulators, but cannot provide accurate timing information or discover DC problems. Delay estimation techniques and cell-level simulation can be useful in constrained design methods, but must be tuned for each application, and circuit simulation must still be used to generate the cell models. None of these methods has the guaranteed accuracy that many circuit designers desire, and none can provide detailed waveform information. Detailed electrical-level simulation can predict circuit performance if devices and parasitics are modeled accurately. However, the computational requirements of conventional circuit simulators make it impractical to simulate current large circuits. In this dissertation, the implementation of Iterated Timing Analysis (ITA), a relaxation-based technique for accurate circuit simulation, on a special-purpose multiprocessor is presented. The ITA method is an SOR-Newton, relaxation-based method which uses event-driven analysis and selective trace to exploit the temporal sparsity of the electrical network. Because event-driven selective trace techniques are employed, this algorithm lends itself to implementation on a data-driven computer. Initial results indicate that data-driven multiprocessors, working with a conventional host, can provide performance improvement for electrical circuit simulation limited only by the size and structure of the circuit under analysis. This particular class of machines also seems well-suited to other network-graph-based, event-driven algorithms, such as fault simulation and many non-electrical problems.

Book ChapterDOI
01 Jan 1985
TL;DR: A theoretical approach to the optimal physical design of a large multifile database is presented, and applications to both relational and network model database systems are discussed.
Abstract: A theoretical approach to the optimal physical design of a large multifile database is presented. The approach is based on the concept that, given a set of access structures and transaction processing methods that satisfy a certain property called separability, the problem of optimally assigning access structures to the whole database can be reduced to the collective subproblems of optimizing individual (smaller) objects in the database independently of one another. The formal concept is introduced, and applications to both relational and network model database systems are discussed. The approach presented significantly reduces the complexity of the design problem which has the potential of being combinatorially explosive.

Journal ArticleDOI
TL;DR: The theory is based on the algebraic structure theory of Hartmanis and is aimed at wiring identical subsystems by abutment and some results towards a formal logic design methodology are presented.