scispace - formally typeset
Search or ask a question

Showing papers on "Physical design published in 2005"


Proceedings ArticleDOI
13 Jun 2005
TL;DR: A full-mask-set design methodology is proposed which provides the same physical design coherence as a configurable array, but with area and other design benefits comparable to standard cell ASICs.
Abstract: Implementing logic blocks in an integrated circuit in terms of repeating or regular geometry patterns (Palusinski et al., 2001 and Strojwas, 2003) can provide significant advantages in terms of manufacturability and design cost (Pileggi et al., 2003). Various forms of gate and logic arrays have been recently proposed that can offer such pattern regularity to reduce design risk and costs. In this paper, we propose a full-mask-set design methodology which provides the same physical design coherence as a configurable array, but with area and other design benefits comparable to standard cell ASICs. This methodology is based on a set of simple logic primitives that are mapped to a set of logic bricks that are defined by a restrictive set of RET (resolution enhancement technique)-friendly geometry patterns. We propose a design methodology to explore trade-offs between the number of bricks and associated level of configurability versus the required silicon area. Results are shown to compare a design implemented with a small number of regular bricks to an implementation based on a full standard cell library in a 90nm CMOS technology.

243 citations


Patent
18 Feb 2005
TL;DR: In this paper, a system and method for integrated circuit design are disclosed to enhance manufacturability of circuit layouts through generation of hierarchical design rules which capture localized layout requirements, and these adjusted design rules are then used to perform localized layout manipulation and mask data conversion.
Abstract: A system and method for integrated circuit design are disclosed to enhance manufacturability of circuit layouts through generation of hierarchical design rules which capture localized layout requirements. In contrast to conventional techniques which apply global design rules, the disclosed IC design system and method partition the original design layout into a desired level of granularity based on specified layout and integrated circuit properties. At that localized level, the design rules are adjusted appropriately to capture the critical aspects from a manufacturability standpoint. These adjusted design rules are then used to perform localized layout manipulation and mask data conversion.

228 citations


Patent
04 Nov 2005
TL;DR: In this article, a method and process for designing an integrated circuit based on using the results from both a specific set of silicon test structure characterizations and the decomposition of logic into combinations of simple logic primitives, from which a set of logic bricks are derived that can be assembled for a manufacturable-by-construction design.
Abstract: The invention provides a method and process for designing an integrated circuit based on using the results from both 1) a specific set of silicon test structure characterizations and 2) the decomposition of logic into combinations of simple logic primitives, from which a set of logic bricks are derived that can be assembled for a manufacturable-by-construction design. This implementation of logic is compatible with the lithography settings that are used for implementation of the memory blocks and other components on the integrated circuit, particularly by implementing geometrically consistent component features. The invention provides the ability to recompile a design comprised of logic and memory blocks onto a new geometry fabric to implement a set of technology-specific design changes, without requiring a complete redesign of the entire integrated circuit.

176 citations


Patent
26 Jan 2005
TL;DR: In this article, a method of forming IC chip shapes and a method and computer program product for converting an IC design to a mask, e.g., for standard cell design, are presented.
Abstract: A method of forming integrated circuit (IC) chip shapes and a method and computer program product for converting an IC design to a mask, e.g., for standard cell design. Individual book/macro physical designs (layouts) are proximity corrected before unnesting and an outer proximity range is determined for each proximity corrected physical design. Shapes with a unique design (e.g., in boundary cells and unique instances of books) are tagged and the design is unnested. Only the unique shapes are proximity corrected in the unnested design, which may be used to make a mask for fabricating IC chips/wafers.

173 citations


Proceedings ArticleDOI
05 May 2005
TL;DR: In this paper, a statistical model was proposed to predict through process electrical parameters from the process parameter variation, which can have a large impact in the modeling of circuit parameters and can be used to evaluate the layout quality.
Abstract: Quality of a layout has the most direct impact in the manufacturability of a design. Traditionally, layout quality is ensured in the first order by design rules, i.e. if a layout is free of design rules violation, it is a good layout. It is assumed such a layout will be fabricated to specification. Moreover, a design rule clean layout also ensures the electrical performance of the circuit it represents. There are other layout quality measures, e.g. random defects yield of a layout is modeled by critical area, systematic defects yield is sometime measured by a weighted score of recommended design rules. All the traditional layout quality measures are computed with drawn layout shapes. In the advent of low K1 lithography and the increasing variability of process technologies beyond 90nm, nominal layout quality measures need to be revisited. Traditionally, nominal electrical properties such as L-eff and W-eff are extracted from drawn layout, and the corner cases are estimated with worst case process conditions. Most of these parameters are layout pattern dependent. As a matter of fact, they can be systematic through process and can have large impact in the modeling of circuit parameters [1]. In this paper, we investigate a through process layout quality measure, in which we extract through process electrical parameters from simulated through process resist contours. We showed a mechanism to compute a statistical model that predicts through process electrical parameters from the process parameter variation. We demonstrated that such computation is practical.

168 citations


Journal ArticleDOI
TL;DR: An overview of placement and routing methods for FPGA- and ASIC-style designs for 3D ICs is given, which uses a two-step optimization process that minimizes inter-tier vias first, followed by further optimization within and across tiers.
Abstract: Three-dimension technologies offer great promise in providing improvements in the overall circuit performance. Physical design plays a major role in the ability to exploit the flexibilities offered in the third dimension, and this article gives an overview of placement and routing methods for FPGA- and ASIC-style designs. We describe CAD techniques for placement and routing in 3D ICs, developed under our 3D analysis and design optimization framework. These approaches address a dichotomy of design styles, both FPGA and ASIC. The factors that are important in each style are different, so that a one-size-fits-all approach is impractical, and therefore, we present separate approaches for 3D physical design for each of these technologies. Hence, our FPGA placement method uses a two-step optimization process that minimizes inter-tier vias first, followed by further optimization within and across tiers. In contrast, the ASIC flow uses cost function weighting to discourage, but not minimize, inter-tier crossings.

161 citations


BookDOI
27 Jan 2005
TL;DR: Based on the authors' expansive collection of notes taken over the years, Nano-CMOS Circuit and Physical Design bridges the gap between physical and circuit design and fabrication processing, manufacturability, and yield.
Abstract: Based on the authors' expansive collection of notes taken over the years, Nano-CMOS Circuit and Physical Design bridges the gap between physical and circuit design and fabrication processing, manufacturability, and yield. This innovative book covers: process technology, including sub-wavelength optical lithography; impact of process scaling on circuit and physical implementation and low power with leaky transistors; and DFM, yield, and the impact of physical implementation.

159 citations


Proceedings ArticleDOI
18 Sep 2005
TL;DR: A new test-structure is developed to precisely measure the on-chip variation of key LSI components (MOST, R, C, and circuit-delay) and it is found that variation can be suppressed due to its randomness features in multi-stage circuitry and high-performance, large-gate-area driver CMOS devices.
Abstract: In sub-100-nm processes, many physical phenomena have become critical issues in the development of processes, devices, and circuits. To achieve reasonable compromise in ASIC design, device-and process-level characterization of physical designs is a fundamental requirement. In this paper, we address topics regarding "design for variability", which are increasingly important in the 65- to 90-nm technology era. We have developed a new test-structure to precisely measure the on-chip variation of key LSI components (MOST, R, C, and circuit-delay). Statistical analysis of the experimental results revealed that the 3/spl sigma/ variation of MOS drive-current within a chip was 30%, which led to equal variation in the circuit propagation delay (Tpd). We found that variation can be suppressed due to its randomness features in multi-stage circuitry and high-performance, large-gate-area driver CMOS devices.

157 citations


Proceedings ArticleDOI
Gi-Joon Nam1, Charles J. Alpert1, Paul G. Villarrubia1, Bruce B. Winter1, Mehmet Can Yildiz1 
03 Apr 2005
TL;DR: A new benchmark suit is being released in conjunction with the ISPD2005 placement contest, directly derived from industrial ASIC designs, with circuit sizes ranging from 210 thousand to 2.1 million placeable objects.
Abstract: Without the MCNC and ISPD98 benchmarks, it would arguably not have been possible for the academic community to make consistent advances in physical design over the last decade. While still being used extensively in placement and floorplanning research, those benchmarks can no longer be considered representative of today's (and tomorrow's) physical design challenges. In order to drive physical design research over the next few years, a new benchmark suit is being released in conjunction with the ISPD2005 placement contest. These benchmarks are directly derived from industrial ASIC designs, with circuit sizes ranging from 210 thousand to 2.1 million placeable objects. Unlike the ISPD98 benchmarks, the physical structure of these designs is completely preserved, giving realistic challenging designs for today's placement tools. Hopefully, these benchmarks will help accelerate new physical design research in the placement, floor-planning, and routing.

149 citations


Proceedings ArticleDOI
03 Jan 2005
TL;DR: A lithography driven layout (LDL) design approach is presented that adds upon the conventional layout optimisation criterions to include the effects of lithographic complexity of the future IC technologies.
Abstract: A lithography driven layout (LDL) design approach is presented. The approach adds upon the conventional layout optimisation criterions to include the effects of lithographic complexity of the future IC technologies. We analyse the various tradeoffs between the design and lithographic requirements for the IC layouts. Based on this, various LDL design rules are identified that leads to a good compromise between conflicting litho and design requirements. These LDL rules goes beyond the common design for manufacturability rules as they try to reduce the mask cost and extend the lifetime of existing litho-tools. A set of standard-cell layouts designed in 65nm CMOS technology are analysed for their litho-printability. Based on this, LDL design constraints are identified which simplify lithography and have limited impact on design area. The impact of such LDL rules on the performance, cost, and litho printability of IC layouts is presented.

144 citations


Proceedings ArticleDOI
18 Jan 2005
TL;DR: This paper proposes an efficient 3D multilevel routing approach that includes a novel through-the-silicon via (TS-via) planning algorithm that features an adaptive lumped resistive thermal model and a two-step multileVEL TS-via planning scheme.
Abstract: 3-D IC has a great potential for improving circuit performance and degree of integration. It is also an attractive platform for system-on-chip or system-in-package solutions. A critical issue in 3-D circuit design is heat dissipation. In this paper we propose an efficient 3-D multilevel routing approach that includes a novel through-the-silicon via (TS-via) planning algorithm. The proposed approach features an adaptive lumped resistive thermal model and a two-step multilevel TS-via planning scheme. Experimental results show that with multilevel TS-via planning, the thermal-driven approach can reduce the maximum temperature to the required temperature with reasonable wirelength increase. Compared to a post processing approach for dummy TS-via insertion, to achieve the same required temperature, our approach uses 80% fewer TS-vias. To our knowledge, this proposed approach is the first thermal-driven 3-D routing algorithm.

Proceedings ArticleDOI
18 Jan 2005
TL;DR: This paper presents the concepts of better than worst-case design and highlights two exemplary designs: the DIVA checker and Razor logic and shows how this approach to system implementation relaxes design constraints on core components, which reduces the effects of physical design challenges and creates opportunities to optimize performance and power characteristics.
Abstract: The progressive trend of fabrication technologies towards the nanometer regime has created a number of new physical design challenges for computer architects. Design complexity, uncertainty in environmental and fabrication conditions, and single-event upsets all conspire to compromise system correctness and reliability. Recently, researchers have begun to advocate a new design strategy called Better Than Worst-Case design that couples a complex core component with a simple reliable checker mechanism. By delegating the responsibility for correctness and reliability of the design to the checker, it becomes possible to build provably correct designs that effectively address the challenges of deep submicron design. In this paper, we present the concepts of Better Than Worst-Case design and high light two exemplary designs: the DIVA checker and Razor logic. We show how this approach to system implementation relaxes design constraints on core components, which reduces the effects of physical design challenges and creates opportunities to optimize performance and power characteristics. We demonstrate the advantages of relaxed design constraints for the core components by applying typical-case optimization (TCO) techniques to an adder circuit. Finally, we discuss the challenges and opportunities posed to CAD tools in the context of Better Than Worst-Case design. In particular, we describe the additional support required for analyzing run-time characteristics of designs and the many opportunities which are created to incorporate typical-case optimizations into synthesis and verification.

Proceedings ArticleDOI
31 May 2005
TL;DR: A novel three phase technique that generates a performance aware layout of the system-on-chip SoC, maps the cores of the SoC to routers, and generates a unique route for every trace that satisfies the performance and architectural constraints is presented.
Abstract: Network-on-chip (NoC) has been proposed as a solution to the communication challenges of system-on-chip (SoC) design in nanoscale technologies. Application specific SoC design offers the opportunity for incorporating custom NoC architectures that are more suitable for a particular application, and do not necessarily conform to regular topologies. Custom NoC design in nanoscale technologies must address performance requirements, power consumption and physical layout considerations. This paper presents a novel three phase technique that i) generates a performance aware layout of the SoC, ii) maps the cores of the SoC to routers, and iii) generates a unique route for every trace that satisfies the performance and architectural constraints. We present an analysis of the quality of the results of the proposed technique by experimentation with realistic benchmarks.

Journal ArticleDOI
TL;DR: It is shown in this paper that, unlike the previously reported design procedures, the proposed design step allows opamp designers to trade between noise performance and power consumption with greater flexibility.
Abstract: This paper presents a basic two-stage CMOS opamp design procedure that provides the circuit designer with a means to strike a balance between two important characteristics in electronic circuit design, namely noise performance and power consumption. It is shown in this paper that, unlike the previously reported design procedures, the proposed design step allows opamp designers to trade between noise performance and power consumption with greater flexibility. In order to verify the viability of the proposed design step, SPICE simulation results of the opamp designed by the proposed procedure, under a variety of temperature and process conditions, are given.

Patent
06 Dec 2005
TL;DR: In this paper, a schematic view of a plurality of interconnected circuit devices of a circuit is displayed on the computer's display, and one or more of the devices of the displayed schematic view are selected by a user.
Abstract: In a computer implemented method of device layout in an integrated circuit design an array having a plurality of cells is selected and stored in a memory of a computer. A schematic view of a plurality of interconnected circuit devices of a circuit is displayed on the computer's display. One or more of the circuit devices of the displayed schematic view are selected by a user. Responsive to the selection of each circuit device, a processing means of the computer populates an empty cell of the array in the memory of the computer with a corresponding layout instance of the circuit device, wherein each layout instance represents a physical arrangement of material(s) that form the corresponding selected circuit device.

Journal ArticleDOI
TL;DR: A method for automated synthesis of analog circuits using evolutionary search and a set of circuit design rules based on topological reuse and the design of the evaluation function-which evaluates each generated circuit using SPICE simulations-has been automated to a great extent.
Abstract: We present a method for automated synthesis of analog circuits using evolutionary search and a set of circuit design rules based on topological reuse. The system requires only moderate expert knowledge on part of the user. It allows circuit size, circuit topology, and device values to evolve. The circuit representation scheme employs a topological reuse-based approach-it uses commonly used subcircuits for analog design as inputs and utilizes these to create the final circuit. The connectivity between these blocks is governed by a well-defined set of rules and the scheme is capable of representing most standard analog circuit topologies. The system operation consists of two phases-in the first phase, the circuit size and topology are evolved. A limited amount of device sizing also occurs in this phase. The second phase consists entirely of device value optimization. The design of the evaluation function-which evaluates each generated circuit using SPICE simulations-has also been automated to a great extent. The evaluation function is generated automatically depending on a behavioral description of the circuit. We present several experimental results obtained using this scheme, including two types of comparators, two types of oscillators, and an XOR logic gate. The generated circuits closely resemble hand designed circuits. The computational needs of the system are modest.

Proceedings ArticleDOI
05 May 2005
TL;DR: Two primary tracks of DfM, one originating from physical design characterization, the other from low-k 1 lithography, are described in this paper, and potentially conflicting layout optimization goals are pointed out.
Abstract: Two primary tracks of DfM, one originating from physical design characterization, the other from low-k 1 lithography, are described Examples of specific DfM efforts are given and potentially conflicting layout optimization goals are pointed out The need for an integrated DfM solution than ties together currently parallel DfM efforts of increasing sophistication and layout impact is identified and a novel DfM-enabling design flow is introduced

Journal ArticleDOI
TL;DR: The proposed multigrid-based technique not only speeds up significantly the optimization process, without compromising the quality of solutions, but also brings up a possibility of incorporating the power-supply network optimization into other physical design stages such as signal routing.
Abstract: In this paper, we present a novel multigrid-based technique for the problem of on-chip power-supply network optimization. The multigrid-based technique is applied to reduce a large-scale network to a much coarser one. The reduced network can be efficiently optimized. The solution for the original network is then quickly computed using a back-mapping process. Due to the adoption of an accurate resistance-inductance-capacitance power-supply network and time-varying switching-current model, our technique is capable of optimizing power grid and decoupling capacitance simultaneously. Experimental results show that large-scale power-supply networks with millions of nodes can be solved in a few minutes. The proposed technique not only speeds up significantly the optimization process, without compromising the quality of solutions, but also brings up a possibility of incorporating the power-supply network optimization into other physical design stages such as signal routing.

Patent
John Decker1
01 Jun 2005
TL;DR: In this paper, a rule-based design consultant and analysis method for an integrated circuit layout design compares an IC design against a list of rules, which can be used with a graphical user interface that displays a report of the rules run on the IC design.
Abstract: A rule-based design consultant and analysis method for an integrated circuit ('IC') layout design compares an IC design against a list of rules. The IC design information may be included in a set of databases, including a database containing physical implementation and technology specific timing and area information. The consultant and method can be used with a graphical user interface that displays a report of the rules run on the IC design. Cross-probing may be incorporated to display at least one diagram of an object that is not compliant with a particular rule, as well as relevant source code for the object.

Journal ArticleDOI
TL;DR: This research extends the previous investigation of the automation of the preliminary design stage to the layout design stage of the cooling system design process, and develops a framework for fuzzy evaluation of the layout designs to rate the various design alternatives generated.
Abstract: This research extends our previous investigation of the automation of the preliminary design stage to the layout design stage of the cooling system design process. While the functional aspects of the cooling system are considered during the preliminary design stage, the layout design stage addresses both the functionality and manufacturability of the design. A graph structure is devised to capture a given preliminary design and a graph traversal algorithm is developed to generate candidate cooling circuits from the graph structure. Heuristic search is employed to develop the cooling circuits into the layout designs by generation of tentative manufacturing plans. A framework for fuzzy evaluation of the layout designs is developed to rate the various design alternatives generated. An experimental system is implemented to verify the feasibility of the approach, and examples generated from the system are presented to illustrate the major steps of the automatic design process.

Proceedings ArticleDOI
18 Jan 2005
TL;DR: A highly accurate fast algorithm for computing the on-chip temperature distribution due to power sources located on the top surface of the chip using a combination of several computational techniques including the Green function method, the discrete cosine transform (DCT), and the table look-up technique.
Abstract: Temperature-related effects are critical in determining both the performance and reliability of VLSI circuits. Accurate and efficient estimation of the temperature distribution corresponding to a specific circuit layout is indispensable in physical design automation tools. In this paper, we propose a highly accurate fast algorithm for computing the on-chip temperature distribution due to power sources located on the top surface of the chip. The method is a combination of several computational techniques including the Green function method, the discrete cosine transform (DCT), and the table look-up technique. The high accuracy of the algorithm comes from the fully analytical nature of the Green function method, and the high efficiency is due to the application of the fast Fourier transform (FFT) technique to compute the DCT and later obtaining the temperature field for any power source distribution using the pre-calculated look-up table. Experimental results have demonstrated that our method has a relative error of below 1% compared with commercial computational fluid dynamic (CFD) softwares for thermal analysis, while the efficiency of our method is orders of magnitude higher than the direct application of the Green function method.

Proceedings ArticleDOI
03 Jan 2005
TL;DR: This tutorial gives an introduction into the electromigration problem and its relationship to current density and temperature, and focuses on various distinctive methodologies that allow the electrom migration problem to be addressed directly during physical design and verification of both analog and digital circuits.
Abstract: The electromigration effect within current-density-stressed signal and power lines is an ubiquitous and increasingly important reliability and design problem in sub-micron IC designs. It is therefore necessary to consider electromigration-related design parameters as early as possible in the physical design flow. In this tutorial, we first give an introduction into the electromigration problem and its relationship to current density and temperature. Physical design parameters that affect current density are presented next. We then focus on various distinctive methodologies that allow the electromigration problem to be addressed directly during physical design and verification of both analog and digital circuits. We also present and discuss commercial applications of these electromigration-aware methodologies.

Proceedings ArticleDOI
20 Feb 2005
TL;DR: The aim is to demonstrate the feasibility of a CAD flow that uses an input FPGA architecture description to generate a layout that can be sent for fabrication and to demonstrate that automated layout of FPGAs is practical and advantageous.
Abstract: Creating a new FPGA is a challenging undertaking because of the significant effort that must be spent on circuit design, layout and verification. It currently takes approximately 50 to 200 person years from architecture definition to tape-out for a new FPGA family. Such a lengthy development time is necessary because the process is primarily done manually. Simplifying and shortening the design process would be advantageous since it could reduce the time to market for new FPGAs while also enhancing architecture explorations. One way to accomplish this is through automation and, in this paper, we describe our efforts to automate the entire process by making use of a previously developed set of tools that assist in the creation of the repeatable FPGA tile [25]. Our aim is to demonstrate the feasibility of a CAD flow that uses an input FPGA architecture description to generate a layout that can be sent for fabrication. We prove the feasibility of this proposition by actually designing and fabricating a complete FPGA. Initial functional testing of the FPGA appears promising but is inconclusive at this time. Through this architecture to layout process, we investigate the issues that are faced in the architecture selection, circuit design, layout and verification of such an automatically produced FPGA. We found that there are significant savings in design time. As well, we demonstrate that we can produce a layout using automated tools that is only 36% larger than a commercial FPGA device layout. Given the significant time savings and the relatively minor area penalty, we feel that this work demonstrates that automated layout of FPGAs is practical and advantageous.

Patent
19 Aug 2005
TL;DR: In this paper, the authors present a platform of hardware and/or software that enables the complete access and reliable testing of multiple integrated circuit (IC) devices (20, 30) within a package.
Abstract: In one embodiment, the present invention provides a platform of hardware and/or software that enables the complete access and reliable testing of multiple integrated circuit (IC) devices (20, 30) within a package. This platform may include a testing component (10) (e.g., test circuits, test pads, shared pads, etc.), one or more probe cards and related hardware, wafer probe program, load board and related hardware of external test equipment, and software and routines for final test programs.

Patent
19 Oct 2005
TL;DR: In this article, the authors present a method and a system for designing an integrated circuit comprising a plurality of elements, which includes obtaining a lithography-simulated layout corresponding to at least one element.
Abstract: The present invention provides a method and a system for designing an integrated circuit comprising a plurality of elements. The method includes obtaining a lithography-simulated layout corresponding to at least one element. The lithography-simulated layout accounts for lithography effects on the element. The method further includes determination of an equivalent circuit representation that is compatible to a circuit analysis tool, corresponding to the lithography-simulated layout with respect to one or more performance characteristics and based on user preferences. The method also provides equivalent circuit representation to the circuit analysis tool that analyzes one or more performance characteristics of the elements.

Patent
24 Jun 2005
TL;DR: In this paper, the authors present a hardware/software design tool for converting an electronic design specification and zero or more technology specifications into realization of the electronic design in computer hardware, software and firmware.
Abstract: An innovative hardware/software design tool provides four modes of operation for converting an electronic design specification and zero or more technology specifications into realization of the electronic design in computer hardware, software and firmware. The first mode of operation compiles design and logic technology specifications into a model which can be utilized for behavioral analysis (such as simulation or formal verification) of logical characteristics (the model). The second mode of operation translates (compiles) partitions of the design and one or more logic technologies into one or more processor intermediates or binaries (embedded binary) suitable for execution on multi-purpose processing units (embedded or general purpose processors). The third mode of operation translates (synthesizes) partitions of the design and logic technology into a collection of cells and interconnects (net-list implementation) suitable for input to physical design processes such as is required to target a field-programmable logic array (FPGA) or custom logic. The fourth mode of operation analyzes (verifies) behavior of the embedded binaries running on processing units and implementations augmented by additional physical technology and parameters, yielding a more detailed (accurate) prediction of the resulting hardware/software system behavior when realized through manufacturing.

Patent
22 Feb 2005
TL;DR: In this article, a testing circuit formed on the integrated circuit is presented, which includes an input circuit coupled to a parameter testing circuit and an output driver coupled to the parametric testing circuit.
Abstract: In accordance with the invention, a testing circuit formed on the integrated circuit is presented. A testing circuit according to the present invention includes an input circuit coupled to a parameter testing circuit and an output driver coupled to the parameter testing circuit. Embodiments of the parameter testing circuit can include circuits for testing process, device, and circuit characteristics of the integrated circuit. Further, some embodiments of the testing circuit can be included in a scan path system where sequences of various testing circuits are included. Further, test parameters obtained from the parameter testing circuits can be utilized to adjust operating parameters of the integrated circuit.

Proceedings ArticleDOI
13 Jun 2005
TL;DR: This work uses a statistical design of experiments strategy based on a multifactorial design, which intelligently uses a limited number of simulations to rank the importance of the wires, and shows improvements both in the overall system performance and in the total wire length when compared with an existing technique.
Abstract: Since across-chip interconnect delays can exceed a clock cycle in nanometer technologies, it has become essential in high performance designs to add flip-flops on wires with multi-cycle delays. Although such a wire pipelining strategy allows higher operating frequencies, it can reduce the delivered performance of a microarchitecture, since the extra flip-flops inserted may increase the operation latencies and stall cycles. Moreover, the addition of latencies on some wires can have a large impact on the overall performance while other wires are relatively insensitive to additional latencies. This varying sensitivity suggests the need for a throughput-aware strategy for pipelining the interconnects that interacts closely with the physical design step, which determines the lengths of these multicycle wires. We use a statistical design of experiments strategy based on a multifactorial design, which intelligently uses a limited number of simulations to rank the importance of the wires. When applied at the floorplanning level, our results show improvements both in the overall system performance and in the total wire length when compared with an existing technique.

Proceedings ArticleDOI
03 Apr 2005
TL;DR: An improved probabilistic coupling capacitance model is suggested for coupling aware timing optimization without performing track assignment and an antenna avoidance problem is modeled as a tree partitioning problem with a linear time optimal algorithm solution.
Abstract: The sustained progress of VLSI technology has altered the landscape of routing which is a major physical design stage. For timing driven routings, traditional approaches which consider only wire self capacitance become inadequate since the wire delay is affected more by coupling capacitance in ultra-deep submicron designs. Furthermore, the technology scaling dramatically increases the likelihood of the antenna problem in manufacturing and requests corresponding considerations in the routing stage. In this paper, we propose techniques that can be applied to handle the coupling aware timing and the antenna problem simultaneously during layer assignment which is an important step between global routing and detailed routing. An improved probabilistic coupling capacitance model is suggested for coupling aware timing optimization without performing track assignment. The antenna avoidance problem is modeled as a tree partitioning problem with a linear time optimal algorithm solution. This algorithm is customized to guide antenna avoidance in layer assignment. A linear time optimal jumper insertion algorithm is also derived. Experimental results on benchmark circuits show that the proposed techniques can lead to an average of 270ps timing slack improvement validated by track assignment, 76% antenna violation reduction and 99% via violation reduction.

Proceedings ArticleDOI
01 Jan 2005
TL;DR: An overview of floating-gate transistors with an emphasis on using them as programmable elements to correct mismatch inherent in analog circuit design is presented in this article. But, the design methodology is such that floatinggate MOSFETs play the role of programmable element while forming an inherent part of the circuitry of interest.
Abstract: This paper presents an overview of floating-gate transistors with an emphasis on using them as programmable elements to correct mismatch inherent in analog circuit design. The design methodology is such that floating-gate MOSFETs play the role of programmable elements while forming an inherent part of the circuitry of interest, as well. Such an approach results in a compact architecture with minimal additional power. Accurate programming that is key to a successful implementation is discussed along with experimental results demonstrating floating-gate charge retention. An overview of several circuit design examples is provided to demonstrate the feasibility of using floating-gate transistors for precision analog circuit design