scispace - formally typeset
Search or ask a question
Topic

Power integrity

About: Power integrity is a research topic. Over the lifetime, 983 publications have been published within this topic receiving 6867 citations.


Papers
More filters
Journal ArticleDOI
TL;DR: In this article, the authors describe the modeling analysis for a power-distribution network and demonstrate co-design and co-simulation in using the detailed prototype model, which includes a chip, package, and printed circuit board.
Abstract: This paper describes the modelling analysis for a power-distribution network and demonstrates co-design and co-simulation in using the detailed prototype model, which includes a chip, package, and printed circuit board. A circuit simulator and a 2D solver using the finite element method are used to study the frequency and transient responses for the core switching noise. In the model, we assume a chip model (current profile and on-chip capacitance) and define the circuit parameters with an equivalent circuit to meet the target impedance. Then the physical design of the package and printed circuit board were done to check all of the required circuit parameters. According to the modelling and evaluation, the package design with a low equivalent series inductance capacitor in the bottom layer and a thin core structure is more advantageous than a capacitor in the top layer.

10 citations

Proceedings ArticleDOI
16 Mar 2009
TL;DR: An efficient parallel flow for the design of the full power distribution network (PDN) is proposed and it is observed that including the voltage regulator model in the PDN model increases the transient voltage drop and PDN response which need to be considered for nanoscale ICs.
Abstract: In this paper, an efficient parallel flow for the design of the full power distribution network (PDN) is proposed. The analysis demonstrates the impact of the voltage regulator model in both frequency and time domain response. Based on the experimental results, it is observed that including the voltage regulator model in the PDN model increases the transient voltage drop and PDN response which need to be considered for nanoscale ICs. The flow is optimized using parallel processing to speedup slow response simulation time of the off chip voltage regulator. The study highlights the power integrity issues related to voltage regulator in broadband frequency ranges. The experimental results show speedup of up to 22 times with single processor and more than 430 times using up to 200 processors compared to HSPICE and other commercial simulators. The PDN simulation time is reduced from hours to less than a minute.

10 citations

Proceedings ArticleDOI
01 Dec 2018
TL;DR: A comparative study between different surrogate modeling techniques as applied to PI analysis is described and a reliable and fast coarse models to make trade off decisions while complying with voltage levels and power consumption requirements are developed.
Abstract: In recent years, extensive usage of simulated power integrity (PI) models to predict the behavior of power delivery networks (PDN) on a chip has become more relevant. Predicting adequate performance against power consumption can yield to either cheap or costly design solutions. Since PI simulations including high-frequency effects are becoming more and more computationally complex and expensive, it is critical to develop reliable and fast models to understand system’s behavior to accelerate decision making during design stages. Hence, metamodeling techniques can help to overcome this challenge. In this work, a comparative study between different surrogate modeling techniques as applied to PI analysis is described. We model and analyze a PDN that includes two different power domains and a combination of remote sense resistors for communication and storage CPU applications. We aim at developing reliable and fast coarse models to make trade off decisions while complying with voltage levels and power consumption requirements.

10 citations

Proceedings ArticleDOI
Kyungjun Cho1, Hyunsuk Lee1, Joungho Kim1
01 Jan 2016
TL;DR: The designed HBM interposer using 6 layers redistribution layer (RDL) and TSVs in 2.5D terabyte/s bandwidth graphics module shows good signal integrity and electrical performance of the HBMinterposer channels using M1, M3, and M5 layer is analyzed by simulation in the frequency-and time-domain.
Abstract: Spurred by the industrial demands for terabyte/s bandwidth graphics module, high bandwidth memory (HBM) has been emerged to overcome the limitations of conventional DRAMs. Additionally, due to the fine pitch and high density interconnect routing between GPU and 4 HBMs in 2.5D terabyte/s bandwidth graphics module, HBM interposer has also been to the force. However, several signal integrity issues of the HBM interposer occur due to the manufacturing process constraints. In this paper, we design the HBM interposer using 6 layers redistribution layer (RDL) and TSVs in 2.5D terabyte/s bandwidth graphics module. And then, in the designed HBM interposer, electrical performance of the HBM interposer channels using M1, M3, and M5 layer is analyzed by simulation in the frequency-and time-domain. With the simulation results, it is observed that the designed HBM interposer shows good signal integrity.

10 citations

Proceedings ArticleDOI
June Feng1, Bipin Dhavale1, Janani Chandrasekhar1, Yuri Tretiakov1, Dan Oh1 
28 May 2013
TL;DR: A system level signal and power co-simulation analysis is presented to optimize system performance under stringent timing requirement for single-ended signaling DDR4 channels at 3200Mbps.
Abstract: For single-ended signaling DDR4 channels at 3200Mbps, signal and power integrity issues become increasingly challenging with much smaller voltage and timing windows to balance the budget. As systems increase data rate and IO count, supply noise does not scale accordingly. We present a system level signal and power co-simulation analysis to optimize system performance under stringent timing requirement [1]. Signal integrity of DDR4 interface, such as inter-symbol interference ISI, reflection, and signal cross talk, needs to be minimized in order to meet an ever shrinking timing budget. Also, power delivery network (PDN) design becomes very difficult as a result of smaller die size and multilayer complex package design. SI and PI co-design optimization is driven by both channel performance and overall system cost.

10 citations


Network Information
Related Topics (5)
Integrated circuit
82.7K papers, 1M citations
80% related
Antenna measurement
39.6K papers, 494.4K citations
78% related
Antenna (radio)
208K papers, 1.8M citations
77% related
CMOS
81.3K papers, 1.1M citations
77% related
Dipole antenna
38K papers, 513.8K citations
77% related
Performance
Metrics
No. of papers in the topic in previous years
YearPapers
202312
202267
202139
202045
201965
201852