scispace - formally typeset
Search or ask a question
Topic

Process corners

About: Process corners is a research topic. Over the lifetime, 912 publications have been published within this topic receiving 9116 citations.


Papers
More filters
Proceedings ArticleDOI
19 Apr 2015
TL;DR: BSIM-CMG based HSPICE framework is developed for simulating time-zero and Negative Bias Temperature Instability (NBTI) variability of SRAM performance parameters.
Abstract: BSIM-CMG based HSPICE framework is developed for simulating time-zero and Negative Bias Temperature Instability (NBTI) variability of SRAM performance parameters. Time-zero variability of Read Static Noise Margin, Hold Static Noise Margin and Flip-Time for different process corners are simulated. Models used for SPICE simulation are foundry qualified sub-20nm FinFET for two types of 6T SRAM cells, HighSpeed and High-Density cells. The Impact of stochastic BTI for DC and AC activity stress on these parameters are studied for relevant worst-case process corner. The impact of Vdd reduction on time-zero and post-BTI SRAM parameter variability is also studied. Critical failure situations are identified.

19 citations

Proceedings ArticleDOI
07 Jun 2004
TL;DR: A new approach for timing analysis is proposed in which the critical path(s) of a circuit is identified using a power-supply-aware timing model, and the complete operation of the entire circuit is abstracted in terms of current constraints.
Abstract: Current Static Timing Analysis (STA) techniques allow one to verify the timing of a circuit at different process corners which only consider cases where all the supplies are low or high. This analysis may not give the true maximum delay of a circuit because it neglects the possible mismatch between drivers and loads. We propose a new approach for timing analysis in which we first identify the critical path(s) of a circuit using a power-supply-aware timing model. Given these critical paths, we then take into account how the power nodes of the gates on the critical path are connected to the power grid, and re-analyze for the worst-case time delay. This re-analysis is posed as an optimization problem where the complete operation of the entire circuit is abstracted in terms of current constraints. We present our technique and report on the implementation results using benchmark circuits tied to a number of test-case power grids.

19 citations

Proceedings ArticleDOI
04 Apr 2005
TL;DR: In this paper, a concept for characterization of intra-die-statistics is discussed, which closes the gap between process control monitoring and matching characterization, and the authors propose a matching-based approach to the matching characterization of MOSFET circuits.
Abstract: Integrated MOSFET circuits fabricated in actual technologies are packed on several square millimetres of chip area. Circuit building blocks distributed over a chip have to achieve the same specifications. The circuit features depend on device parameters which vary not only on a global scale (i.e., wafer scale) or on a local scale (i.e., close-packed device pairs) but also on a chip-level scale. A concept for characterization of intra-die-statistics is discussed which closes the gap between process control monitoring and matching characterization.

19 citations

Proceedings Article
15 Jun 2011
TL;DR: In this paper, the authors proposed a novel disturb mitigation scheme which achieves low power and lowvoltage operation for a deep sub-micron SRAM macro, which consists of a floating bitline technique and a low-swing bitline driver (LSBD).
Abstract: This paper presents a novel disturb mitigation scheme which achieves low-power and low-voltage operation for a deep sub-micron SRAM macro. The classic write-back scheme overcame a half-select problem and improved a yield; however, the conventional scheme consumed more power due to charging and discharging all write bitlines (WBLs) in a sub block. Our proposed scheme consists of a floating bitline technique and a low-swing bitline driver (LSBD). This scheme decreases active leakage and active power by 33% and 32% at the FF corner, respectively. In other process corners, more active power reduction can be expected. We fabricated a 512-Kb 8T SRAM test chip that operates at a single 0.5-V supply voltage. The proposed scheme achieves 8.8-µW/MHz active energy in a write cycle and 72.8-µW leakage power, which are 35% and 26% better than the conventional write-back scheme. The total energy is 20.1 µW/MHz at 0.5 V in a 50%-read/50%-write operation.

19 citations

Proceedings ArticleDOI
01 Dec 2010
TL;DR: A novel low-to-high level shifter that enables having voltage domains with substantially different supply voltages from near-threshold to full supply voltage is presented.
Abstract: This paper presents a novel low-to-high level shifter that enables having voltage domains with substantially different supply voltages from near-threshold to full supply voltage. The level shifter was designed in a 90nm CMOS technology and uses thick-oxide transistors, non-minimum channel length transistors, along with novel circuit structures to up convert from 0.36V to 1.32V and all the voltage levels in between for all process corners and the temperature range of [0°C – 125°C]. Relaxing the temperature operating range to [25°C – 125°C], the level shifter works deep into the sub threshold region capable of up converting from 0.31V to 1.32 V. For the typical case operating condition, the proposed level shifter has an unprecedented performance of 1.5 ns while up converting 0.36V to 1.32V.

19 citations


Network Information
Related Topics (5)
CMOS
81.3K papers, 1.1M citations
91% related
Logic gate
35.7K papers, 488.3K citations
88% related
Transistor
138K papers, 1.4M citations
84% related
Integrated circuit
82.7K papers, 1M citations
84% related
Electronic circuit
114.2K papers, 971.5K citations
81% related
Performance
Metrics
No. of papers in the topic in previous years
YearPapers
202311
202226
202138
202047
201943
201864