scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 1986"


Patent
Keith Harlow Nicholas1
17 Sep 1986
TL;DR: In this article, the use of a mask in the manufacture of a solid state device allows a single exposure of a resist layer 63 to form a proximity effect corrected image of the mask pattern 2, 3 of apertures 3 and masking areas 2 and a modifying layer 4.
Abstract: The mask part 41 includes a substrate 1, a patterning means 40 and a photoemissive layer 6. The patterning means 40 includes a mask pattern 2, 3 of apertures 3 and masking areas 2 and a modifying layer 4. Ultraviolet radiation 56 is patterned by patterning means 40 before effecting electron emission 60 from the photoemissive layer 6. There is electron emission from over the apertures 3 and the masking areas 2 as the masking areas are partially transparent to incident ultraviolet radiation. The ultraviolet transmitted by the apertures and the masking areas is modified in intensity dependent on the thickness R of the modifying layer. The resuting electron emission 60 is in a patterned beam which forms a proximity effect corrected electron image of the mask pattern in the electron sensitive resist layer 63. The masking areas 2 of chromium and the modifying layer 4 of resist may be made by modifications of known methods of chromium deposition and resist exposure and development. The use of the mask in the manufacture of a solid state device allows a single exposure of a resist layer 63 to form a proximity effect corrected image of the mask pattern 2, 3 in the resist layer 63.

13 citations


Patent
23 May 1986
TL;DR: In this article, the width of the pattern to be formed on a substrate is corrected in proportion to the quantity of back-scattering electron of each region on the sample on which an electron beam transfer is performed.
Abstract: PURPOSE:To enable to perform an electron beam transfer and the correction of proximity effect simultaneously by one transfer process by a method wherein the width of pattern to be formed on a substrate is corrected in proportion to the quantity of back-scattering electron of each region on the sample on which an electron beam transfer is performed. CONSTITUTION:The width of the patterns 2 on a photoelectric mask is formed thicker on the circumferential part and thinner in the center part than that of the pattern 1 to be formed on a sample by performing an electron beam transfer. When an electron beam transfer is performed using the mask such as above-mentioned, the dosage which is received by the resist on the sample becomes the sum of the dosage of the beam projected from the pattern on the mask and that of the back-scattering. As a result, the pattern to be formed on the sample is thickly formed in the center part when compared with that on the cicumferential part. Accordingly, the width of the pattern on the mask is to be formed thinner in the center part than that on the circumferential part. As a result, the pattern to be formed on the sample can be formed equal in width.

10 citations


Journal ArticleDOI
TL;DR: In this paper, a first-order model based on the energy density of electrons deposited in the active region along the interface of the sensitized layer and the resist is developed, and resist sensitivity as a function of sensitized-layer thickness and accelerating voltage are calculated with the Monte Carlo method and compared with experimental results.
Abstract: Electron‐beam exposure and computer simulation are used to characterize the performance of Ge0.1Se0.9 inorganic resist and examine the fundamental mechanisms of resist action. A first‐order model based on the energy density of electrons deposited in the active region along the interface of the sensitized layer and the resist is developed. Resist sensitivity as a function of sensitized‐layer thickness and accelerating voltage are calculated with the Monte Carlo method and compared with experimental results. Special test patterns including multiscanning are designed to explore lateral diffusion of silver in the sensitized layer and proximity effect due to backscattering. With Ge0.1Se0.9 resist and e‐beam direct writing sub‐half‐micrometer working lithography can be achieved on silicon substrate at incident doses comparable with that needed for polymethylmethacrylate (PMMA) polymer resists.

9 citations


Patent
08 Oct 1986
TL;DR: In this article, a post-exposure, pre-development baking of the photoresist layer causes a migration of small photoactive compound (PAC) molecules to increase the size of peripheral exposed areas so as to compensate for the exposure size variations caused by the proximity effect.
Abstract: A method to compensate for the E-beam proximity effect which includes a post exposure, pre-development baking of the photoresist layer. The baking of the photoresist layer causes a migration of small, photo-active compound (PAC) molecules to increase the size of peripheral exposed areas so as to compensate for the exposure size variations caused by the proximity effect.

8 citations


Patent
20 Jun 1986
TL;DR: In this article, the authors proposed a method to improve the pattern precision by dividing the electron beam exposed dose of the subfield boundary portion among the pattern forming regions remote from the boundary and the joint correction regions, thereby obtaining the exposed dose required for forming the entire region.
Abstract: PURPOSE: To improve the pattern precision extending over the boundary portion by dividing the electron beam exposed dose of the subfield boundary portion among the pattern forming regions remote from the boundary and the joint correction regions, thereby obtaining the exposed dose required for forming the entire region. CONSTITUTION: When the main field MF of a wafer is divided into subfields SF 1 , SF 2 and a pattern is formed over the boundary portion B, an electron beam is first applied to the pattern forming regions P 1 , P 2 on both sides of the boundary B, and the electron beam is applied to joint correction regions P 11 , P 12 with a dose (0.5) smaller than the exposed dose (1) of the regions P 1 , P 2 , thereby making the sum of the exposed doses of the regions P 1 , P 2 and the correction regions P 11 , P 12 equal to the exposed dose for forming the region MF. With this method, the precision of a pattern extending over both sides of the boundary portion can be improved, and various patterns can be formed with good precision through adjustment of the exposed dose while taking into consideration the proximity effect at the subfield boundary and the thermal effect due to time difference of the beam exposure. COPYRIGHT: (C)1988,JPO&Japio

6 citations


Journal ArticleDOI
TL;DR: In this paper, the bias exposure was used to reduce the pattern size error for high voltage and high voltage electron beam (EB) uniform exposure (bias exposure) on the target covered with PMMA resist of 1 μm in addition to conventional high voltage EB writing.
Abstract: The proximity effect reduction was achieved by electron beam (EB) uniform exposure (bias exposure) on the target covered with PMMA resist of 1 μm in addition to conventional high voltage EB writing. At an acceleration voltage of 50 kV and a dosage of 50 μC/cm2, a pattern size error of 0.5 μm pattern due to the proximity effect amounted to 0.25 μm, which was improved to 0.12 μm by bias exposure. When dosage was large, the pattern size error was further improved by bias exposure. For 50 kV and 140 μC/cm2 writing, 0.25 μm pattern including isolated window, line and window, and isolated line was formed with an accuracy of ±0.025 μm. The pattern size error for conventional EB writing was almost independent of dosage. For 20 kV and 20 μC/cm2 writing, the pattern size error was hardly improved by bias exposure.

6 citations


Proceedings ArticleDOI
Huayu Liu1, E. D. Liu1
30 Jun 1986
TL;DR: In this paper, the GHOST method was used to compensate the proximity effect in electron-beam lithography by an additional field exposure with defocused beam at lower dose to compensate proximity effect, which was applied to CMS-EX(R) and RD-2000N negative resist successfully.
Abstract: The proximity effect in electron-beam lithography can be corrected by the "GHOST" method, in which an additional field exposure with defocused beam at lower dose is used to compensate proximity effect. This technique was applied to CMS-EX(R) and RD-2000N negative resist successfully. Excellent linewidth control was confirmed by an electrical test method. A parameter of development rate ratio was used to characterize the performance of nonswelling negative resist.

2 citations


Patent
26 Apr 1986
TL;DR: In this article, a photoelectric mask and a sample 20 are opposed, an electric field is formed by a DC high voltage power source 31 therebetween, a magnetic field is applied by a focusing magnet 32, ultraviolet ray is emitted to achieve an electron beam equimultiple projection exposure, an electronbeam 41 is emitted in the beam emitting amount D0 necessary to form a selective pattern to project and expose the pattern region.
Abstract: PURPOSE:To reduce the pattern dimensional error caused by a proximity effect by auxiliarily exposing the nonpattern range of a special pattern periphery by the emitting amount less than the emitting amount D1 necessary to form a pattern. CONSTITUTION:A photoelectric mask 101 and a sample 20 are opposed, an electric field is formed by a DC high voltage power source 31 therebetween, a magnetic field is applied by a focusing magnet 32, ultraviolet ray is emitted to achieve an electron beam equimultiple projection exposure, an electron beam 41 is emitted in the beam emitting amount D0 necessary to form a selective pattern to project and expose the pattern region. Then, an electron pattern transfer is performed by a photoelectric mask 102 having a mask pattern 13, the entire surface of the pattern region and the nonpattern region of the sample 20 is projected and exposed by the beam 42 by 20% of the amount D1. The dimensional variation amount of 0.5mum line of the transferred pattern becomes within 0.06mum, and the dimensional variation amount of the fine pattern caused by the proximity effect is remarkably reduced.

2 citations



Patent
21 Jul 1986
TL;DR: In this article, the authors proposed a method to obtain the same correction effect as that of whole irradiation without multiplying the irradiation times, by a method wherein the resist is exposed to light by the amount of irradiation at the superpositions on two or more irradiations with variable molding beams larger than a desired pattern in an amount satisfying no photo sensitivity.
Abstract: PURPOSE:To obtain the same correction effect as that of whole irradiation without multiplying the irradiation times, by a method wherein the resist is exposed to light by the amount of irradiation at the superpositions on two or more irradiations with variable molding beams larger than a desired pattern in an amount satisfying no photo sensitivity. CONSTITUTION:The pattern of a region (a) or (b) is irradiated with a variable molding beam (VSB) having shapes 1, 2, 3 by successive superposition and obtains the distribution of irradiation amount rectangular to a pattern such as 5. The pattern of a region (c) obtains a distribution of irradiation such as 13 by superposed irradiation with VSB of shapes 7, 8, 9, 10, 11. This manner yields the distribution of irradiation amount in which the back ground level is increased in the neighborhood of patterns. Compared with the conventional exposure which does not correct in the proximity effect. The irradiation times increase only by a factor of the number of patterns + once. Beams of smaller current density than conventional can be used; therefore, the resolution of beam edges enhances.

2 citations


Journal ArticleDOI
TL;DR: In this paper, a tri-level resist based on polyimide, an inorganic intermediate layer, and positive resist as top image layer is used to pattern a high-aspect-ratio image.
Abstract: Multi-layer resist has been utilized commonly in optical and E-beam lithography for its ability to resolve submicron feastures over topography. In addition, the use of a low-Z(atomic number) material as the intermediate layer reduces the proximity effect. This paper describes the development of etching process used to pattern a tri-level resist based on polyimide, an inorganic intermediate layer, and positive resist as top image layer. A highaspect-ratio image can be anisotropically transfered from the inorganic intermediate layer into the polyimide planarizing layer by oxygen RIE. Directionality, profile control, and backsputtering residue are the primary considerations during the pattern transfer etching. The influence of pressure, power and self-bias voltage on the etching process are described. The residue is caused by sputtering of the electrode material and the etch barrier material. Backsputtering residue from electrode can be prevented by covering all exposed conducting surfaces with an organic dielectric material, in this case an ardel plate. Etch residue contributed by the intermediate layer is quantified according to its pattern density and the influence of the pressure. Sidewall profiles and their relationship to planarizing layer thickness are also discussed.

Journal ArticleDOI
TL;DR: In this paper, the most advanced VLSI device, realized by a high performance stepper with the conventional optical techniques, is realized by high voltage electron beam (EB) technique, and fabricated 0.25 μm line and space by a single scan at 50kV.
Abstract: 1Mbit D-RAM. the most advanced VLSI device, is realized by a high performance stepper with the conventional optical techniques. However, as the optical lithography has an inherent limit of resolution, new technologies are being developed rapidly for the development of new generation VLSI devices (4M-16Mbit D-RAM) using shorter wavelength photons of i-line. Electron beam (EB) lithography is already in practical mask making products, but the resolution limit is about 0.5 μm because of proximity effects. In order to make higher resolution and higher precision masks, high voltage EB technique is being developed to minimize the proximity effect, and fabricated 0.25 μm line and space by a single scan at 50kV. X-ray technology 1; becoming practical after a long laboratory-level study, using high performance X-ray resists (CPMS: chlorinated polymetylstylene). Focused Ion Beam (FIB) technology has been anticipated for its capability of submicron lithography due to a reduced proximity effect. High speed submicron Si MOSFET and GaAs MESFET with 0.25 μm gate have been fabricated using FIB technology. Activities of submicron lithography technology in Japan (optical stepper, EB, X-ray, and FIB) are described.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate the large process latitude and precise linewidth control possible with MIBL when stencil masks are employed, which is a result of the high contrast of stencil mask, low divergence of the ion beam, lack of diffraction, and small proximity effect of this technology.
Abstract: Masked ion beam lithography (MIBL) is capable of high resolution (<50 nm) proximity printing with short exposure times (<1 s). In this letter we demonstrate the large process latitude and precise linewidth control possible with MIBL when stencil masks are employed. Features, 0.65 μm in dimension, exposed in polymethylmethacrylate (PMMA) show negligible linewidth variation (<50 nm) for a change in dose of over an order of magnitude. This linewidth control is a result of the high contrast of stencil masks, the low divergence of the ion beam, lack of diffraction, and small proximity effect of this technology. The linewidth control of MIBL is compared to the linewidth control of optical projection lithography and x‐ray lithography.

Proceedings ArticleDOI
09 Jul 1986
TL;DR: In this article, a bilayer PMGI was developed for producing polysilicon gates for high density CMOS, which was shown to be very flexible and different schemes can be implemented as needed.
Abstract: A high resolution, easy to implement bilayer PMGI [poly[dimethylglutarimiden process has been developed for producing polysilicon gates for high density CMOS. One micron wide, proximity effect free, and very uniform poly lines were obtained. Unbiased mask dimensions were reproduced easily with optimum process latitude. A focus latitude of 5 microns for 1 micron dense (repeating line/space) pattern is reported. This was achieved using a conventional stepper with a nominal resolution of 1.1 microns and a depth of focus of +/-1.3 microns. The delta between the mask and the final wafer dimensions was virtually the same for lines ranging from 5 all the way to 1 micron, as well as for all single and dense lines showing no proximity effects. The after-etch linewidths were uniform to within a sigma of 0.04 microns across a 4 inch wafer. The process consisted of the following: The polysilicon was coated with a planarizing and antireflecting PMGI layer of about 1 micron. A 0.7 micron layer of conventional novolak resist was put on top. The image was formed in the top resist and transferred to the bottom by a deep UV flood exposure. The PMGI was developed in a aqueous-based solution completely removing the top resist in the process. The etching was done in a single wafer RIE machine. All the linewidth measurements were made on final poly structures using electrical techniques. The advantages of using PMGI over conventional materials such as PMMA are no interlayer mixing, aqueous-based developer chemicals, and better etch resistance. The process is very flexible and different schemes can be implemented as needed. A novolak cap can be retained for increased etch resistance by changing to a different aqueous developer solution; however, the simple uncapped approach was found to be already far superior to any single layer resist technique and it was easily implemented on conventional production equipment.

Journal ArticleDOI
TL;DR: In this paper, the point spread function is measured using an image fidelity approach, which is based on the extremely accurate transform based proximity correction methodology reported previously [1, 2, 3].