scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 1993"


Journal ArticleDOI
TL;DR: An efficient CAD pattern processing algorithm based on dose modulation technique is proposed for proximity effect correction calculation in electron beam (EB) lithography, which is indispensable to the accurate proximity correction for next-generation high-density VLSIs.
Abstract: An efficient CAD pattern processing algorithm based on dose modulation technique is proposed for proximity effect correction calculation in electron beam (EB) lithography. The algorithm resolves a costly and lengthy computation during the pattern processing. First, the original pattern data with multiple-level cell hierarchy is reduced to pattern data with two-level cell hierarchy. Then, a zoning algorithm with dual-frame technique is introduced for realizing the hierarchical proximity correction calculation. Here, the zone is a region of pattern assembly to be proximity-corrected and the frame is a pattern reference region to incorporate the backscattering effects of electrons exposed on the frame into the zone data. The algorithm is applied to typical design layers of 64-Mb DRAM pattern data. The final EB data volume is greatly compacted by a factor of 22-150 compared with a conventional hierarchy-flattened method. The hierarchical approach is indispensable to the accurate proximity correction for next-generation high-density VLSIs. >

57 citations


Journal ArticleDOI
TL;DR: In this paper, the physical processes limiting resolution and causing proximity effects in electron-beam lithography are outlined, with the major emphasis on sub-250 nm lithography, where a reduction of proximity effects and the enhancement of resolution can be achieved with a thin intermediate layer (such as 50-300 nm of silicon nitride or silicon dioxide) between the resist and the substrate.
Abstract: The physical processes limiting resolution and causing proximity effects in electron‐beam lithography (EBL) are outlined, with the major emphasis on sub‐250 nm lithography. A reduction of proximity effects and the enhancement of resolution in the resist can be achieved with a thin intermediate layer (such as 50–300 nm of silicon nitride or silicon dioxide) between the resist and the substrate. This has been observed on a number of substrates (Si, GaAs, W, and InSb) and with two high‐resolution e‐beam resists. Monte Carlo simulations and experimental results show that secondary electrons play a key role in the understanding of this phenomenon. Furthermore, a large number of fast secondaries (500 eV–3 keV), emitted from substrate–resist interface, enter the resist within a ∼100 nm radius of the primary beam. The thin intermediate layers reduce the number of secondary electrons entering the resist. In contrast, the elimination of proximity effects was observed with very low energy electron beams (≤100 eV) as...

28 citations


Journal ArticleDOI
TL;DR: In this article, the energy deposition function in the case of e −beam lithography is calculated analytically and the distribution of electrons as a function of depth and energy is calculated using a method based on the Boltzmann transport equation.
Abstract: In this article the energy deposition function in the case of e‐beam lithography is calculated analytically. The distribution of electrons as a function of depth and energy is calculated first using a method based on the Boltzmann transport equation which is easily applicable in the case of multilayer substrates. Next the lateral distribution of the electrons is calculated and each contribution (primary, secondary, and backscattered electrons) is considered separately. Energy dissipation results are used as input to a cell removal model for the resist development simulation.

20 citations


Patent
08 Sep 1993
TL;DR: In this article, the authors proposed a method to make accurately a correction of a proximity effect even if a plurality of base patterned layers are formed by a method wherein pattern data to be exposed on a substrate surface layer to be formed with a pattern is split into small regions to calculate the density of the existence of the pattern and moreover, the pattern is drawn at a main exposure calculated on the basis of the intensity of the whole scattering of a charged particle beam.
Abstract: PURPOSE: To make it possible to make accurately a correction of a proximity effect even if a plurality of base patterned layers are formed by a method wherein pattern data to be exposed on a substrate surface layer to be formed with a pattern is split into small regions to calculate the density of the existence of the pattern and moreover, the pattern is drawn at a main exposure calculated on the basis of the intensity of the whole scattering of a charged particle beam. CONSTITUTION: A layer 3 to be processed is deposited on a base pattern 2 formed on a substrate 1 into a pattern form. Moreover, a resist layer, which is used as a surface layer, is applied to perform a patterning of the resist layer using a charged particle beam and thereafter, a pattern is transferred to the layer 3 by etching using the patterned resist layer as a mask. That is, in the first of a back scattering, which is generated in the interface between the resist layer and the substrate, of the beam entering one point on the resist layer, the pattern is made to expose to the beam on the layer 3 and the second of the back scattering, the beam entering the lower layer pattern 2 is reentered in the resist layer to make the pattern expose to the beam. Thereby, even if a plurality of base patterned layers, which affect the intensity of the back scattering, are formed, a correction of a proximity effect can be made accurately and at high speed. COPYRIGHT: (C)1995,JPO

15 citations


Patent
27 Aug 1993
TL;DR: In this paper, an electron beam lithography method comprises steps for setting exposure region widths from a larger width (P2, P3) so that the exposure region region width + non-exposure region width becomes equal to line width to be formed + space width, setting a degree of exposure of electron beam from a lower degree (P4 to P 6), obtaining the range of exposure enabling formation of patterns (P7 to P9), obtaining (P10) a range of a degreeof exposure (Dmin to Dmax) in which width size and fluctuation
Abstract: PURPOSE:To provide an electron beam lithography method which can form fine patterns of a region in which it is difficult to derive size shift amount based on an exposure strength curve without lowering a throughput in an elec tron beam lithography method ensuring proximity effect CONSTITUTION:An electron beam lithography method comprises steps for setting exposure region widths from a larger width (P2, P3) so that the exposure region width + non-exposure region width becomes equal to line width to be formed + space width, setting a degree of exposure of electron beam from a lower degree (P4 to P 6), obtaining the range of a degree of exposure enabling formation of patterns (P7 to P9), obtaining (P10) a range of a degree of exposure (Dmin to Dmax) in which width size and fluctuation of formed line/space are set within the predetermined range, exposure region width (y0) and a value of alpha (exposure region width/line width) and obtaining (P11) the optimum degree of exposure (D0)

12 citations


Proceedings ArticleDOI
28 Dec 1993
TL;DR: In this paper, the use of electron beam lithography in fabricating diffractive optical elements by direct e-beam alignment and direct write into the electron beam resist is discussed and the practical advantages and disadvantages of each method are pointed out.
Abstract: Due to the stringent requirements on the lateral alignment and the large number of steps required to fabricate diffractive optics by typical microlithographic techniques, the need for more efficient methods to fabricate these elements has arisen. This paper discusses the use of electron beam lithography in fabricating diffractive optical elements by direct e-beam alignment and direct write into the electron beam resist. Many of the practical advantages and disadvantages of each method will be pointed out. In particular, current research and future research directions into such direct write problems as the proximity effect, hologram ruggedness, and lengthy exposure times will be addressed.

10 citations


Patent
01 Jun 1993
TL;DR: In this paper, the pattern forming method and device thereof capable of lessening the proximity effect easily without performing any complicated computer processing step was proposed. But the pattern-forming method was only applied on a substrate with energy beams (electron beams 3).
Abstract: PURPOSE:To provide the pattern forming method and device thereof capable of lessening the proximity effect easily without performing any complicated computer processing step. CONSTITUTION:After irradiating negative type electron beam resist 2 on a substrate 1 with energy beams (electron beams 3), the whole body is once developed to form patterns. Later, the whole surface is coated with the negative type electron beam resist again and then irradiated with the electron beams 3' again to form the patterns. These steps are repeated using the patterns. Through these procedures, the accumulated energy in the resist can be effectively dissipated thereby enabling the proximity effect to be minimized without performing any complicated computer processing step.

10 citations


Journal ArticleDOI
TL;DR: In this article, a linear relationship was found between the minimum width of poly(methylmethacrylate) (PMMA) walls and the given resist thickness (height of the walls).
Abstract: In this article we report the experimental investigation of the density of patterns exposed with electron beam lithography (EBL). A linear relationship was found between the minimum width of poly(methylmethacrylate) (PMMA) walls and the given resist thickness (height of the walls). Below the minimum width, PMMA walls become wavy or fail due to the internal stresses caused by PMMA swelling during development. Previous research pertaining to proximity effects at feature sizes and spacing below the 0.25 μm range is limited. We have experimentally investigated proximity effects in very‐high‐density gratings in the pitch range from 50 to 330 nm. The relationship between electron beam dose and grating pitch, where proximity effects during electron beam lithography play a major role, was achieved. By fitting the experimental results with a triple Gaussian model, the contributions of the different electron distributions in proximity effects were determined. It was found that fast secondary electrons dominate the proximity effects in the range we studied and they limit the density of patterns fabricated by EBL.

9 citations


01 Aug 1993
TL;DR: In this article, complex computer generated phase holograms (CGPH's) have been fabricated in PMMA by partial e-beam exposure and subsequent partial development, and the pattern appeared rendered in relief in the PMMA, which then acts as the phase-delay medium.
Abstract: Complex computer generated phase holograms (CGPH's) have been fabricated in PMMA by partial e-beam exposure and subsequent partial development. The CGPH was encoded as a sequence of phase delay pixels and written by the JEOL JBX-5D2 E-beam lithography system, a different dose being assigned to each value of phase delay. Following carefully controlled partial development, the pattern appeared rendered in relief in the PMMA, which then acts as the phase-delay medium. The exposure dose was in the range 20-200 micro-C/sq cm, and very aggressive development in pure acetone led to low contrast. This enabled etch depth control to better than plus or minus lambda(sub vis)/60. That result was obtained by exposing isolated 50 micron square patches and measuring resist removal over the central area where the proximity effect dose was uniform and related only to the local exposure. For complex CGPH's with pixel size of the order of the e-beam proximity effect radius, the patterns must be corrected for the extra exposure caused by electrons scattered back up out of the substrate. This has been accomplished by deconvolving the two-dimensional dose deposition function with the desired dose pattern. The deposition function, which plays much the same role as an instrument response function, was carefully measured under the exact conditions used to expose the samples. The devices fabricated were designed with 16 equal phase steps per retardation cycle, were up to 1 cm square, and consisted of up to 100 million 0.3-2.0 micron square pixels. Data files were up to 500 MB long and exposure times ranged to tens of hours. A Fresnel phase lens was fabricated that had diffraction limited optical performance with better than 85 percent efficiency.

9 citations


Journal ArticleDOI
TL;DR: In this article, a new concept for enhancing the depth of focus of fine hole patterns is proposed, which is based on modulating the complex amplitude of the hole pattern image, and a halftone phase-shifting mask is used to shift the origin.
Abstract: A new concept for enhancing the depth of focus of fine hole patterns is proposed. The concept is based on modulating the complex amplitude of the hole pattern image. It is shown that shifting the origin of the complex amplitude plane enhances the depth of focus. Simulations show that the resolution limit improves to 0.5 λ/NA with the depth of focus of ±1.0 λ/NA2. It is also shown that halftone phase-shifting masks can be used to shift the origin. The optimum intensity transmittance of the halftone region for maximizing depth of focus is 17.3% for a 0.6 λ/NA hole pattern. Using the optimized halftone phase-shifting mask, a quarter-micron hole pattern can be fabricated with the large depth of focus of 1.4 µm in KrF lithography. Proximity effects for holes arrayed in a row are also discussed.

9 citations


Journal ArticleDOI
TL;DR: The self-consistent correction technique equalizes the exposure of the resist within the desired features in the pattern, and this algorithm provides good correction for a wide range of feature shapes and sizes using both positive and negative resist systems as discussed by the authors.
Abstract: Electron‐beam lithography (EBL) suffers from the effects of scattering of the incident electron beam. For good control of the size of the developed features, particularly when the fraction of incident electrons that is backscattered is large, incident dose modification is essential. The self‐consistent correction technique equalizes the exposure of the resist within the desired features in the pattern, and this algorithm provides good correction for a wide range of feature shapes and sizes using both positive and negative resist systems. For EBL at the limits of resolution, the effects of forward scattering must be considered. The incident dose must be increased to compensate for the forward scattering effects when writing features smaller than about five times the range of the forward scattering. In order to equalize the average dose within these features, the incident doses must be increased by factors of up to 2, and greater increases are required to extend the system to even smaller features. If the b...

Patent
28 May 1993
TL;DR: In this paper, a coarse folding of the image is carried out, and the coarsely folded image is joined together with the initial image, and then the pre-corrected image is then imaged by means of electron beams.
Abstract: The subject-matter of the invention is a method for imaging by means of electron-beam lithography, in which faulty exposures caused by the proximity effect are corrected. A preliminary correction of the imaging is carried out with respect to the radiation dose. For this purpose, a coarse folding of the image is carried out. The coarsely folded image is joined together with the initial image. The pre-corrected image thus obtained is then imaged by means of electron beams.

Journal ArticleDOI
TL;DR: In this paper, the accuracy with which patterns can be delineated in electron-beam lithography has been characterized in terms of the range of the electrons relative to the pattern size being produced.
Abstract: In this work, the accuracy with which patterns can be delineated in electron‐beam lithography has been characterized in terms of the range of the electrons relative to the pattern size being produced. In addition, the interdependence of the minimum feature size, film thickness, and beam energy have been investigated for low‐beam energy exposures. Palladium acetate has been used as a model resist, and beam energies ranging from 1 to 30 keV have been used for exposures. The results indicate that the proximity effect can be eliminated by reducing the range of the electrons below the minimum feature width and that the thickness of the imaging layer must be less than 2/3 of the electron range for adequate exposure. The loss of resolution due to increased probe size at low beam energies has also been investigated.

Journal ArticleDOI
TL;DR: In this article, a new analytical approach for the electron beam exposure of resists is developed, based on the diffusion approximation of the Boltzmann transport equation, which is applied to predict the correction of the proximity effect in the process of fabrication of thin mettalic film masks where an insulating film is used between the metal and resist layers.

Patent
25 Jun 1993
TL;DR: In this article, the authors proposed to prevent an excessive increase in exposing time, and to smoothly correct proximity effect without generation of an increase in computational processing time in the charged particle beam exposing method with which proximity effect is corrected.
Abstract: PURPOSE:To prevent an excessive increase in exposing time, and to smoothly correct proximity effect without generation of an increase in computational processing time in the charged particle beam exposing method with which proximity effect is corrected. CONSTITUTION:The title charged beam exposing method is the method in which the desired pattern is exposed on the objective substance using a charged particle beam. The area (X) of the region where an in-section pattern is present is computed for each section on the exposing region divided into the prescribed size, the area of (Xmax) of the largest region having a pattern in the section is computed, and the intensity of main exposure, to be conducted on each section, is determined based on the largest area (Xmax). A pattern is drawn using a non-obscured beam having the main exposure intensity, the area (S-X) of a blank region, having no pattern, is computed from the area (X) of the pattern- existing region of each section, the intensity of auxiliary exposure, to be conducted on each section, is determined based on the area of the blank region, and an auxiliary exposing operation is conducted using an obscured beam having the intensity of auxiliary exposure.

Journal ArticleDOI
TL;DR: In this paper, electron beam lithography (EBL) with a low accelerating voltage (approximately 2 kV) was used for the fabrication of nanostructures and a resolution of 30 nm was achieved for both sparse and dense lines.
Abstract: Electron beam lithography (EBL) with a low accelerating voltage ( approximately 2 kV) was utilized for the fabrication of nanostructures. A resolution of 30 nm was achieved for both sparse and dense lines. The high resolution resulted from the low aberrations of the electron optics system of the field emission scanning electron microscope used as an EBL machine and from the preferred small-angle forward scattering characteristic of the low-energy exposing electrons. By comparison with 50 kV EBL, the authors show a large reduction in the proximity effect and demonstrate a 60 nm spacing between two large exposed areas. Moreover, it is shown that the critical dose at 2 kV is more than an order of magnitude less than that at 50 kV exposures.

Journal ArticleDOI
Geraint Owen1
TL;DR: In this paper, the relationship between self-consistent dose correction and typical correction exposure (GHOST) is described, and two alternative dose correction schemes with attractive attributes exist, although they are not as well known.
Abstract: Many techniques have been proposed for the reduction or correction of the proximity effect. These include the use of an appropriate beam energy, multilayer resists, dose correction, and correction exposures. Here, special emphasis is placed on dose correction schemes. In particular, the relationship between these and a typical correction exposure scheme (GHOST) is described. The popular "self-consistent" schemes are in widespread use. However, alternative dose correction schemes with attractive attributes exist, although they are not as well known. Two of these are described. One treats electron lithography as a form of incoherent imaging, characterized by a modulation transfer function (MTF). The method consists of transforming the pattern data to account for this MTF, thus eliminating the proximity effect. This scheme is both computationally efficient and accurate. The other scheme is an extension of GHOST in which dose corrections are computed by simulating the effects of a correction exposure: it too is fast and accurate.

Journal ArticleDOI
TL;DR: In this article, the basic concepts of the quantum cellular automata (QCA) architecture based on Coulomb coupling of nanofabricated structures are reviewed and fabrication issues critical to the new technology are discussed.
Abstract: In this article, the basic concepts of our recently proposed computing architecture based on Coulomb coupling of nanofabricated structures, called quantum cellular automata (QCA) are reviewed and fabrication issues critical to the new technology are discussed. The QCA fabrication will require an extremely high level of lithographic control. To this end, the proximity effects in making very high density patterns with poly(methylmethacrylate) (PMMA) and electron‐beam lithography have been experimentally investigated. A triple Gaussian model was used to simulate the experimental data. By using a 50 keV electron beam, sub‐40 nm pitch gratings, double lines, and dot grids were successfully fabricated on Si and SiO2/Si bulk wafers with single‐level PMMA and lift‐off.

Journal ArticleDOI
TL;DR: In this paper, the authors used a simple numerical calculation with the double-Gaussian approximation and a threshold energy model to evaluate the tolerances on alignment between primary and correction exposure.
Abstract: The GHOST method is well known proximity effect correction scheme which is simple and accurate, and requires no extensive computer calculations. Although the tolerances on dose and beam diameter of correction exposure have been studied by several researchers, there has been no systematic evaluation of the tolerance on alignment between primary and correction exposure. In this research, the tolerance on alignment has been calculated for a two‐dimensional test pattern by using a simple numerical calculation with the double‐Gaussian approximation and a threshold energy model. The calculation shows that an alignment error causes much larger deviations in linewidth than in position shift. The tolerance on alignment, therefore, is determined by linewidth deviation. The tolerance has linear dependence on both the range of backscattered energy distributions (Bb) and the ratio of the absorbed energy deposited by the backscattered electrons to the absorbed energy by the forward scattered electrons (η). The larger B...

Proceedings ArticleDOI
G. Owen1
24 Jun 1993
TL;DR: In this article, the relationship between self consistent dose correction and a typical correction exposure (GHOST) is described, and two alternative dose correction schemes with attractive attributes exist, although they are not as well known.
Abstract: Many techniques have been proposed for the reduction or correction of the proximity effect. These include the use of an appropriate beam energy, multilayer resists, dose correction, and correction exposures. Here, special emphasis is placed on dose correction schemes. In particular, the relationship between these and a typical correction exposure scheme (GHOST) is described. The popular 'self consistent' schemes are in widespread use. However, alternative dose correction schemes with attractive attributes exist, although they are not as well known. Two of these are described. One treats electron lithography as a form of incoherent imaging, characterized by a modulation transfer function (MTF). The method consists of transforming the pattern data to account for this MTF, thus eliminating the proximity effect. This scheme is both computationally efficient and accurate. The other scheme is an extension of GHOST in which dose corrections are computed by simulating the effects of a correction exposure: it too is fast and accurate.

Proceedings ArticleDOI
24 Jun 1993
TL;DR: In this article, the effects of electron beam energy, pattern size, and resist thickness on the production of features in electron beam lithography has been investigated, and it was found that the minimum electron energy required to produce features in films of palladium acetate ranging in thickness from 0.09 to 1.9 micrometers has been determined using beam energies ranging from 1 to 30 keV.
Abstract: The effects of electron beam energy, pattern size, and resist thickness on the production of features in electron beam lithography has been investigated. The minimum electron beam energy required to produce features in films of palladium acetate ranging in thickness from 0.09 to 1.9 micrometers has been determined using beam energies ranging from 1 to 30 keV. For each of the film thicknesses, the minimum electron beam energy required to produce features was found to be closely related to the range of the electron relative to the film thickness. The pattern used to obtain these measurements consisted of a group of various sized squares. The dose required to correctly expose the squares so that the actual width was equal to the nominal width varied as the nominal width was changed within the pattern. This change in dose as the nominal width was varied, the dose factor, was used as a measure of the proximity effect. For a given pattern size, the dose factor was found to go through a maximum as the beam energy was raised above the minimum required energy. The width variance, the change in actual width of the squares as the dose was changed, was also found to go through a maximum as the beam energy was raised. As the size of the pattern was varied, the beam energies which resulted in the maximum of the dose factor and the width variance were found to be dependent on the range of the electrons relative to the size of the pattern.© (1993) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Book ChapterDOI
01 Jan 1993
Abstract: The use of low energy electrons for e-beam lithography in radiation sensitive materials is of interest because the detrimental effects of electron scattering can be eliminated. The scanning tunneling microscope (STM) is a convenient way to produce such electrons in a spatially localized beam. The STM has proved to be a valuable probe of resist materials and a viable method of lithography for high resolution patterning of semiconductor substrates. Thin layers of commercially available resist materials have been patterned and the patterns transferred into a substrate with a reactive ion etch. The absence of electron scattering is evidenced by the elimination of proximity effects and an improvement in resolution over that obtained with a tightly focussed 50 kV e-beam in identically prepared and processed resist films. Preliminary studies are also reported of STM lithography of ultrathin resists formed by self assembly techniques. The use of such thin films promises to increase further the resolution capability of STM based lithography. Issues related to the implementation of a low voltage lithography tool are discussed. A single tip instrument built with a fast STM like scanner appears viable for small scale nanolithography whereas a multiple tip approach is required for applications where throughput is an issue.

Journal ArticleDOI
TL;DR: In this paper, a viable method of x-ray mask fabrication using advanced optical lithography is proposed and the results of a feasibility study using conventional optical-lithography is presented.
Abstract: A viable method of x‐ray mask fabrication using advanced optical lithography is proposed and the results of a feasibility study using conventional optical lithography is presented. This method reduces the proximity effect compared to electron‐beam lithography for x‐ray masks. Thus, it achieves excellent critical dimension control and offers high productivity and low cost with a single layer resist process. This technology was applied to fabricating x‐ray masks with two 64 Mb dynamic random access memory (DRAM) circuit dies. The 64 Mb DRAM pattern on a five time reticle was replicated onto a 1‐μm‐thick positive resist coated on an extremely flat x‐ray mask substrate using a conventional i‐line stepper. The resist pattern was directly transferred to an x‐ray absorber Ta film using low‐wafer‐temperature electron cyclotron resonance plasma etching with SF6 gas. The resulting 0.75‐μm‐thick Ta pattern with vertical sidewalls was fabricated with 20 nm (3σ) critical dimension control. This simple process makes x‐ray masks with low defect density.

Journal ArticleDOI
TL;DR: The replicated pattern distortion for feature sizes down to 0.15 μm, characterized by pattern linearity, pattern density dependence, and corner rounding, was investigated in synchrotron radiation (SR) lithography, using a highly accurate x-ray mask delineated by a focused ion beam as discussed by the authors.

Journal ArticleDOI
TL;DR: In this paper, a physical method of reducing feature size and proximity effects in sub-quarter-micrometer e-beam lithography is described, where a thin layer (50 to 300 nm) of silicon nitride is added to a semiconductor substrate prior to resist deposition to enhance the resist resolution.
Abstract: A physical method of reducing feature size and proximity effects in sub-quarter-micrometer e-beam lithography is described. A thin layer (50 to 300 nm) of silicon nitride deposited on a semiconductor substrate, prior to resist deposition, has been found to enhance the resist resolution. The samples were patterned with a 50-keV, 15-nm-diam probe generated by a JEOL JBX-5Dll e-beam lithography system. Point spread function measurements in 60-nm-thick SAL-601 on Si are shown to illustrate the resolution enhancement in the nanolithographic regime (sub-100 nm). The technique has been applied to lithography on 400-nm-thick W films, such as would be used in x-ray mask fabrication. The 200 nm of SAL-601 was spun onto W film samples, which were half-coated with 200 nm of silicon nitride. Identical lithographic patterns were written on each half of the sample. On examination of the samples after postexposure processing and development, reduced feature sizes and proximity effects were seen on the sample half with the silicon nitride intermediary layer. For example, in a field effect transistor (FET) type pattern, with a coded 500-nm gap between the source and drain pads, the gate could only be successfully resolved when the intermediary nitride layer was present. Monte Carlo simulations were performed on a CM-200 connection machine. The results show a large number of fast secondary electrons are generated within a 100-nm radius of the incident electron beam. The implications of fast secondary electrons on resolution in e-beam lithography are discussed. The total number of fast secondary electrons entering the resist is reduced by the silicon nitride layer. Simulations compare the thin-layer technique to a bilayer resist technique, used to improve resolution at larger dimensions.

Journal ArticleDOI
TL;DR: In this article, an additive process using the three-level resist scheme for X-ray mask fabrication by electron beam lithography is analyzed by Monte Carlo simulation of electron scattering, and the resist exposure is calculated for specific e-beam test patterns aimed at 0.2μm resolution.
Abstract: An additive process using the three-level resist scheme for X-ray mask fabrication by electron beam lithography is analysed by Monte Carlo simulation of electron scattering. The resist exposure is calculated for specific e-beam test patterns aimed at 0.2μm resolution. The time evolution of the developed resist profiles is simulated by using a string model for dissolution. Relevant process variables such as e-beam energy (25 to 50 keV) and resist thickness are investigated. Simulation results demonstrate that 50 keV is altogether a preferred condition, compared to 25 keV, leading however to different pattern transfer techniques, according to resist thickness. The process modelling is compared with previously reported experimental results. Good qualitative agreement is found, indicating that modelling can be used as an effective aid in the quantitative evaluation of the process.

Patent
13 Aug 1993
TL;DR: In this article, the authors proposed a technique capable of correcting proximity effect in the exposure technique for exposing a pattern on a target plane by independently ON/OFF controlling a plurality of charged particle beams.
Abstract: PURPOSE:To provide a technique capable of correcting proximity effect in the exposure technique for exposing a pattern on a target plane by independently ON/OFF controlling a plurality of charged particle beams. CONSTITUTION:Each has many openings being ON/OFF controllable and arranged near a blanking aperture array and a blanking aperture array in which many openings are arranged so as to permit the irradiation of any arbitrary point on a target plane with a plurality of charged particle beams when moved in a predetermined direction. Moreover, this is a charge particle beam exposure method using a charged particle beam exposure equipment having a considerably larger opening area than each of many other openings and auxiliary exposure openings (GEA) having no ON/OFF control function by themselves, and an auxiliary exposure process is included, which performs the exposure with the intensity weaker than the real exposure by making the focus fuzzy in the region where the intensity of scattered charge particles is low in real exposures.

Journal ArticleDOI
TL;DR: In this article, the effects of tungsten and resist thicknesses and exposure energy on Tungsten x-ray masks have been investigated making use of both experimental and theoretical data, and it was found that proximity effects in the patterned resist can be reduced by an appropriate choice of resist and absorber thickness as well as increased electron energy.
Abstract: In this article, the effects of tungsten and resist thicknesses and exposure energy on tungsten x‐ray masks have been investigated making use of both experimental and theoretical data. It was found that proximity effects in the patterned resist can be reduced by an appropriate choice of resist and absorber thickness as well as increased electron energy. The control of linewidths for isolated lines, clustered lines, and isolated spaces is sufficient for 0.25 μm design rules without proximity correction and with good process latitude. In addition, it was found that this process has resolution down to 0.1 μm.

Patent
07 May 1993
TL;DR: In this article, an exposing pattern is produced by equally dividing a plotting pattern composed of a combination of prescribed rectangular and non-rectangular areas into prescribed rectangular patterns on the basis of the shapes of the rectangular areas.
Abstract: PURPOSE:To provide an exposing pattern producing method by which a precise pattern can be plotted with high accuracy CONSTITUTION:This exposing pattern producing method is constituted in such a way that, when an exposing pattern is produced by equally dividing a plotting pattern composed of a combination of prescribed rectangular and nonrectangular areas into prescribed rectangular patterns on the basis of the shapes of the rectangular areas, the plotting starting points of rectangular patterns corresponding to the nonrectangular areas are shifted in prescribed directions to positions where the rectangular patterns do not receive any proximity effect from adjacent patterns

Journal ArticleDOI
TL;DR: In this article, the most demanding step is the patterning of the Ti/Pt/Au metallization layer traditionally used in these devices, which has been studied in detail and local exposure dose corrections are applied to realize well defined resist patterns.