scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 1995"


Journal ArticleDOI
TL;DR: An iterative method was used to compensate for the proximity effect caused by electron scattering in the resist and from the substrate during the e-beam exposure, which will result in a general cost reduction per element.
Abstract: We present a method to fabricate high-quality and environmentally rugged monolithic diffractive optical elements (DOE's). Analog direct-write e-beam lithography was used to produce analog resist profiles that were transferred into their substrates by the use of chemically assisted ion-beam etching (CAIBE) in one single etching step. An iterative method was used to compensate for the proximity effect caused by electron scattering in the resist and from the substrate during the e-beam exposure. Slope-dependent differential etch rates that occur during the transfer process were characterized and compensated for. Finally, the DOE was divided into regions with different period ranges, and the exposure dosages were set to achieve even and accurate etch depths in the final element. The presented fabrication method will increase manufacturability and reduce processing time, which will result in a general cost reduction per element.

61 citations


Journal ArticleDOI
TL;DR: The writing part of the point-spread function is found to increase significantly with increasing development time, the background part much less, and the parameters of thePoint- spread function are determined.
Abstract: The proximity effect in successively developed direct-write electron-beam lithography gratings is measured. The grating relief shapes are obtained from the measured power in several of the gratings' diffraction orders. Describing the proximity effect by a convolution with a double Gaussian point-spread function, we determine the parameters of the point-spread function. The writing part of the point-spread function is found to increase significantly with increasing development time, the background part much less.

28 citations


Journal ArticleDOI
TL;DR: In this article, a background dose proximity effect correction procedure is proposed that utilizes the unique way image contrast is formed in scattering with angular limitation projection electron lithography (SCALPEL(R)).
Abstract: A background dose proximity effect correction procedure is proposed that utilizes the unique way image contrast is formed in scattering with angular limitation projection electron lithography (SCALPEL(R)). An electron beam is selectively scattered by a thin, high atomic number layer patterned on a membrane mask. Some of the scattered radiation, which is normally blocked at an aperture to form contrast at the wafer, is allowed to pass through the system optics. Nominally dark regions of the mask are therefore allowed to expose the resist on a wafer. However, because the scattered radiation enters the projection system at relatively large angles, it is subjected to system aberrations and is improperly focused at the wafer. This dispersed radiation mimics the natural long range backscatter exposure emanating from the intentionally exposed regions, and ideally results in a constant background exposure in the resist—similar to the GHOST background correction technique used in direct‐write applications. However, unlike the direct‐write correction, both the primary exposure and the correction exposure are achieved at the same time. Throughput is not reduced by the correction. Although the correction dose profile may not resemble the form of the long range backscatter energy deposition in the resist, the deviations of background exposure are small for mask patterns of practical interest, in comparison with the variation of uncorrected exposures of the same pattern.

17 citations


Proceedings ArticleDOI
26 May 1995
TL;DR: In this article, the global proximity effects of densed line, semi-isolated line, and off-axis illumination in combination with attenuated phase shift masks were analyzed. But the authors focused on the performance of the super resolution technique.
Abstract: The global proximity effects of densed line, semi-isolated line are studied for conventional illumination, off-axis illumination, and finally off-axis illumination in combination with attenuated phase shift masks which have transmittance of 4% and 8%, respectively, by experiments and simulations. To analyze the behavior of proximity effects, the lithographic performances of the super resolution technique are investigated comparing the cross-sectional view of resist pattern profile, useful depth of focus, and the curves of linewidth vs. defocus for 0.30 micrometers , 0.35 micrometers , and 0.40 micrometers pattern size, respectively. The global proximity effect is quantitatively analyzed by fitting the curve for densed line and isolated line to 2nd order polynomials. Off- axis illumination with attenuated phase shift mask is very effective to minimize the proximity effects for the pattern size less than 0.40 micrometers , and have useful depth of focus of 1.0 micrometers for 0.30 micrometers patterns.

13 citations


Journal ArticleDOI
TL;DR: A new optical proximity effect correction (OPC) system taking account of the resist development and process latitude has been developed, designed focussing on accurate optimization of cell patterns such as memory or gate array devices.
Abstract: For an optical lithography process with a design rule of under 0.35 µ m, printed resist pattern size and shape tend to differ from those designed because of the optical proximity effect, resulting in line width error and corner rounding. In order to solve such problems, a new optical proximity effect correction (OPC) system taking account of the resist development and process latitude has been developed. The system is designed focussing on accurate optimization of cell patterns such as memory or gate array devices. Using this OPC for a memory device cell pattern of 3.5×6.3 µ m area, the resist edge placement error with process conditions of within ±5% exposure latitude and ±0.75 µ m depth of focus achieved was one-third of that without OPC. The calculation time for this correction is as short as 2 minutes on a 135 MIPS workstation even with the resist development and process latitude consideration.

11 citations


Journal ArticleDOI
TL;DR: In this article, the authors presented a simulation tool for resist exposure and development in electron beam lithography, where the electron distribution function is evaluated from the Boltzmann transport equation with suitable boundary conditions.

10 citations


Journal ArticleDOI
TL;DR: In this article, the authors present a solution to the proximity effect correction problem for use in SCALPEL (SCattering with Angular Limitation in Projection Electron Beam Lithography).
Abstract: Several schemes have been proposed for proximity effect correction (PEC) in e-beam lithography systems: biasing, dose control and GHOST. Typically, the correction is applied to the pattern data, a process that is computationally demanding and expensive in terms of data handling. A process which is independent of the pattern information, and could be implemented in the tool hardware, would be an attractive alternative. In this paper we present such a solution to the proximity effect correction problem, for use in SCALPEL (SCattering with Angular Limitation in Projection Electron Beam Lithography).

9 citations


Patent
Takao Tamura1
25 May 1995
TL;DR: In this article, an electron beam is adjusted and is irradiated onto a number of pattern openings of a mask, and the exposure times are calculated for the sub areas so that energy deposited in the resist of the target is brought close to a definite energy.
Abstract: In an electron beam exposure system, an electron beam is adjusted and is irradiated onto a number of pattern openings of a mask. The electron beam passed through the number of the pattern openings is deflected by deflection units and is irradiated onto a resist-coated target. The target is divided into a plurality of sub areas whose size corresponds to the size of the pattern openings. Exposure times are calculated for the sub areas so that energy deposited in the resist of the target is brought close to a definite energy.

8 citations


Journal ArticleDOI
TL;DR: In this article, a new exposure intensity distribution (EID) function was proposed to compensate the proximity effect and the Coulomb interaction effect simultaneously, and the results indicate that the new EID function is very effective and can improve the CD deviation from 12% to 6% for 0.25 µ m lines-andspaces (L/S) patterns.
Abstract: In cell projection lithography, critical dimension (CD) control is one of the important issues for device fabrication as well as resolution. Because plural patterns are exposed in one shot under the same dose, the proximity effect correction is more difficult than in the conventional variable-shaped beam (VSB) lithography. We have analyzed the CD deviation in order to obtain high CD accuracy of less than 0.02 µ m (range) which is sufficient for manufacturing 1 G dynamic randam access memory (DRAM). We have found that the Coulomb interaction effect plays an important role in CD deviation. We have proposed a new exposure intensity distribution (EID) function which contains a factor introduced for the first time to compensate the proximity effect and the Coulomb interaction effect simultaneously. The results indicate that the new EID function is very effective to compensate the Coulomb interaction effect and improve the CD deviation from 12% (0.03 µ m) to 6% (0.015 µ m) for 0.25 µ m lines-and-spaces (L/S) patterns.

5 citations


Journal ArticleDOI
TL;DR: In this article, a new physical principle for creating optical elements which allows the fabrication of structures with an artificial refractive index was developed, and a technique for the experimental determination of the proximity function parameters in electron lithography eliminating the influence of the resist development process was presented.

4 citations


Patent
07 Apr 1995
TL;DR: In this paper, an appropriate proximity effect corrective quantity is determined for each pattern that is specified by the corrected exposure pattern data A, B and C and the uncorrected exposure data E, F and G.
Abstract: PURPOSE:To form an accurate pattern on non-uniform base by electron beam exposure that is based on an appropriate proximity effect corrective quantity obtained for each of the patterns specified by corrected exposure pattern data and uncorrected exposure data. CONSTITUTION:Pattern data (w) to be corrected is obtained in consideration of the mass number or reflectivity of a layer under a sample surface. The pattern data is ANDed with exposure pattern data (g) to obtain corrected pattern data A, B and C. Then, the pattern data A, B and C are removed from the exposure pattern data (g) to obtain uncorrected exposure data E, F and G. An appropriate proximity effect corrective quantity is determined for each pattern that is specified by the corrected exposure pattern data A, B and C and the uncorrected exposure data E, F and G. Electron beam exposure is performed according to the corrective quantity.

Journal ArticleDOI
01 Nov 1995-Vacuum
TL;DR: In this paper, a computer Integrated Application Program System (IAPS) is developed for solving the various problems connected with the study of electron transport in a multi-layer specimen, based on the decomposition of the flux density function of the normally incident point electron beam into component parts: the straightforward primary electrons and the diffusing ones.

Journal ArticleDOI
TL;DR: In this paper, a new method is introduced and described in detail for the generation of small 3-dimensional resist structures using a special method for proximity correction, and first results are presented.

Proceedings ArticleDOI
21 May 1995
TL;DR: In this paper, the point spread function of the e-beam during exposure caused by forward electron scattering in the resist and back scattering from the substrate was determined by measuring the exposure of a step function.
Abstract: We demonstrate a method to fabricate high quality and environmentally rugged monolithic Diffractive Optical Elements (DOEs). Analog direct-write e-beam lithography was used to produce analog resist profiles that were transferred into their substrates using Chemically Assisted Ion Beam Etching (CAIBE) in one single etching step. The Point Spread Function of the e-beam during exposure caused by forward electron scattering in the resist and back scattering from the substrate was determined by measuring the exposure of a step function. An iterative method that makes use of the point spread function was developed to adjust the electron exposure file and compensate for the proximity effect caused by electron scattering. Slope dependent etch rates that occur during the microstructure transfer process were characterized and also compensated for by exposure file adjustment. Finally, the DOE was divided into regions with different periodicity ranges. For each periodicity range the range of clock speed for the exposure is set to achieve even and accurate feature depth in the final element. Many DOEs have been fabricated by this technique including a Fresnel lens of 32 phase levels. DOEs fabricated using this technique, can be used as high quality masters for a following replication process based on molding, casting etc. Moreover comparing with conventional binary optics fabrication methods, which require multiple exposure and processing steps for master generation, our approach requires only a single lithography and etching step. Therefore the fabrication method presented in this paper will not only yield high quality masters, but will also result in a general cost reduction and reduce the turnaround time between design and replication.

Patent
11 Aug 1995
TL;DR: In this paper, an electron beam is projected through a first aperture to produce a rectangular beam, which is then directed toward a desired position of a second aperture by a shaper/deflector.
Abstract: PURPOSE:To realize shortening of exposing time and correction of proximity effect on the periphery of a pattern while preventing the resolution from lowering. CONSTITUTION:An electron beam 3 projected from an electron gun 1 passes through a first aperture to produce a rectangular beam. It is then directed toward a desired position of a second aperture 6 by a shaper/deflector 5 and the like. The second aperture 6 has openings representative of a plurality of exposing patterns. The electron beam passed through these openings is projected onto a sample 10 where a plurality of exposing patterns are formed. Only a part of patterns at the second aperture is employed for exposing the peripheral part of a pattern thus shortening the exposing time and correcting the proximity effect on the periphery of the pattern while preventing the resolution from lowering.

Proceedings ArticleDOI
23 Oct 1995
TL;DR: The method for simulating the exposure of circuit patterns with electron beam lithography is presented, which is significantly faster than using direct convolution, and is able to predict the result of the exposure with a high degree of accuracy.
Abstract: In this paper we discuss our method for simulating the exposure of circuit patterns with electron beam lithography. Our approach divides the exposure contribution at a point into two parts: global exposure, which considers circuit elements located far away from the point, and local exposure, which considers elements in close proximity to the point. Our method is significantly faster than using direct convolution, and is able to predict the result of the exposure with a high degree of accuracy. In this paper we will present our method in detail and analyse its performance through simulation and experimental results.

Journal ArticleDOI
TL;DR: In this article, a new pattern width evaluation method for electron-beam (e-beam) writing has been developed, which can predict the overall pattern width accuracy objectively and quantitatively by taking into account the exposure intensity distribution function and specific e-beam writing data in which the dose is often modulated for proximity effect correction.
Abstract: A new pattern width evaluation method for electron‐beam (e‐beam) writing has been developed. The method can predict the overall pattern width accuracy objectively and quantitatively by taking into account the exposure intensity distribution function and specific e‐beam writing data in which the dose is often modulated for proximity effect correction. The evaluation is carried out by calculating the effective exposure energies and distances for sampling points on layout patterns from the ideal location of the pattern edge, the probability of pattern edge resolution as a function of the distance, and the mean and standard deviation of the edge positioning accuracy. Experiments verify the validity of this approach. The method enables the evaluation of linewidth accuracy using e‐beam writing data after proximity effect correction. Furthermore, it can be applied under various writing conditions and has the potential for use in the development of e‐beam writing systems.

Journal ArticleDOI
TL;DR: In this paper, the impact of resist thickness and antireflective coatings on optical proximity effect and process latitude has been examined, taking various optical effects into account, their simulation matches the experimental data very well.
Abstract: As the resolution of optical lithography reaches 0.35 μm and below, linewidth can no longer be predicted by aerial image alone. Accordingly, we incorporate thin‐film interference within the resist/substrate stack and postexposure bake diffusion effects in our latent image formation model. The impact of resist thickness and antireflective coatings on optical proximity effect and process latitude has been examined. Taking various optical effects into account, our simulation matches the experimental data very well. Using the enhanced simulation tool, we have studied the practical process window and possible enhancement techniques.

Journal ArticleDOI
TL;DR: In this article, a simple model is presented in order to predict the critical dose for given resist and substrate thickness, based on stress relaxation during exposure within the highly tensile-strained resist film.

Patent
09 Jan 1995
TL;DR: In this paper, a photo mask and a method for manufacturing the same increase the capacitance of a capacitor by improving the proximity effect of the mask pattern, which can improve the reliability of manufacture of a semiconductor device.
Abstract: A photo mask and method for manufacturing the same increase the capacitance of a capacitor by improving the proximity effect of the mask pattern. The photo mask includes a transparent substrate, an opaque mask pattern (11;15;20) for defining an optical transmission area on the substrate, and an optical transmittance control film pattern (10;14;17&18) for suppressing proximity effect in the optical transmission area. The proximity effect is suppressed by forming an optical transmittance control film pattern in the transmission area between the individual portions of the opaque mask pattern, so that the mask pattern shape can be exactly transferred onto a substrate. Thus, capacitor surface area is increased thereby improving the reliability of manufacture of a semiconductor device.

Patent
31 Jan 1995
TL;DR: In this paper, the region on which in-pattern proximity effect is generated in a focussed beam lithography and a proximity effect correction treatment is conducted on the above-mentioned region in a simple manner.
Abstract: PURPOSE:To easily and accurately judge the region on which inpattern proximity effect is generated in a focussed beam lithography and a proximity effect correction treatment is conducted on the above-mentioned region in a simple manner. CONSTITUTION:A lithography pattern is divided into straight lines L12, L13... which are extending in the scanning direction (x-direction) of electron beam, and rectangular regions 10A, 10B,... using straight lines L21, L22... which orthogonally intersecting with the above-mentioned straight lines. Also, the line segment X, which comes in contact with the profile L0 of the pattern and extending in the x-direction, is worked out and the line segment Y, which comes in contact with the profile L0 and orthogonally intersecting with the scanning direction, is worked out. The shortest line segments Xa, Xb, Xc..., passing regions 10A, 10B..., are worked out for each region, and the shortest line segments Ya, Yb, Yc... of the line segment Y pssing the same regions, are worked out. In each region, the shorter one of the line segment X and Y is set on the parameter W which shows the width of region. This value W is compared with the base values W1 and W2, and the intensity I1 to I3 of the beam with which the region is irradiated are determined. They are conducted by one graphic operation treatment.

Proceedings ArticleDOI
19 May 1995
TL;DR: In this paper, the computer aided proximity effect correction program CAPROX has been used to correct structures written at 20 keV electron beam energy, and a new method was developed to determine proximity parameters for a given e-beam/resist/substrate system.
Abstract: The computer aided proximity effect correction program CAPROX has been used to correct structures written at 20 keV electron beam energy. A new method has been developed to determine proximity parameters for a given e-beam/resist/substrate system. Proximity parameters for PMMA and EBR-9 and chemically amplified negative resist AZPN114 have been determined, and compared with those obtained from the established 'doughnut method'. The new method provides more reliable and accurate values, particularly for the forward scattering range. The dependence of (alpha) , (beta) and (eta) on resist thickness was also measured and the sensitivity of proximity correction using CAPROX to variation in all three scattering parameters was demonstrated. The new method improves CD linewidth linearity and accuracy in the range 0.1 approximately equals 4.0 micrometers , achieving linewidth for both lines and gaps within 100 nm of designed size.

Patent
14 Jul 1995
TL;DR: In this paper, an additional charge is injected into adjacent regions 2-2 and 2-4 to relax the poor effect of a proximity effect between electron beam lithographies by executing an additional exposure by a beam flow current that is much larger than that used for exposure in a pattern region.
Abstract: PURPOSE: To relax the poor effect of a proximity effect between electron beam lithographies by executing an additional exposure by a beam flow current that is much larger than that used for exposure in a pattern region. CONSTITUTION: An additional charge is injected into adjacent regions 2-2 and 2-4. While a grating is manufactured, a line in a first region 2-2 is written by a duty cycle of, for example, 1:1. The region has a width b1 of 5 μm. A charge is injected in the adjacent regions 2-4 and 2-6 (with a width b2 of 10 μm). Charge is injected uniformly or is charged by another arbitrary method as far as the charge is distributed nearly uniformly within the adjacent regions 2-4 and 2-6. In the latter case, a beam diameter (and hence the beam current of an electron source with a constant brightness) is increased drastically.

Journal ArticleDOI
TL;DR: In this paper, a single layer process for the generation of high aspect ratio submicron structures was developed for the Leica EBPG-5 electron beam writer, which features exposure at 100 keV electron energy and a special exposure scheme, which allows to reduce the influence of the proximity effect.

Patent
18 Aug 1995
TL;DR: In this article, a square light shielding mask pattern 11 which limits the light transmitting area of a transparent substrate is formed on the substrate, and a transmittance adjusting film pattern 10 is formed in a light transmission area adjacent to the intersection area.
Abstract: PURPOSE: To provide a photomask which can increase the capacitance of a capacitor by improving the proximity effect and a method for manufacturing the photomask. CONSTITUTION: A square light shielding mask pattern 11 which limits the light transmitting area of a transparent substrate is formed on the substrate. An intersection area where light transmitting areas extended in the X-axis direction and those extended in the Y-axis direction intersect each other is formed in the pattern 11 and a transmittance adjusting film pattern 10 is formed in a light transmitting area adjacent to the intersection area. Since a mask pattern is formed more accurately on the substrate of a semiconductor device due to the pattern 10 which suppresses the proximity effect, the area of a capacitor can be increased. In addition, the reliability of the semiconductor device is improved.