scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 1996"


Journal ArticleDOI
TL;DR: In this article, the effect of molecular weight on the resolution of poly(methyl methacrylate) (PMMA) was examined using thin Si3N4 in order to reduce the backscattered electron contribution to the exposure.
Abstract: Electron‐beam lithography’s resolution limit is greater than the beam diameter due to resist limitations as well as electron interaction with solids. We examine the effect of molecular weight on the resolution of poly(methyl methacrylate) (PMMA). The experimental procedure uses thin Si3N4 in order to reduce the backscattered electron contribution to the exposure, and the resist contrast standard deviation σ was determined. Molecular weights of 950×103, 120×103, and 15×103 amu were used. It is found that relatively equivalent exposure and resolution are found in each case, and that the entanglement threshold is either lower than thought, or is not a factor in the resolution of PMMA. Lines as small as 7 nm are found in the highest molecular weight.

53 citations


Journal ArticleDOI
TL;DR: In this article, an empirically derived model for calculating feature sizes in resist is presented. But the model is based on convolution of the mask pattern with a set of kernels determined from measuring the printed test structures in resist.
Abstract: We present the characterization of optical proximity effects and their correction in deep‐UV lithography using an empirically derived model for calculating feature sizes in resist. The model is based on convolution of the mask pattern with a set of kernels determined from measuring the printed test structures in resist. The fit of the model to the measurement data is reviewed. The model is then used for proximity correction using commercially available proximity correction software. Corrections based on this model is effective in restoring resist linearity and in reducing line‐end shortening. It is also more effective in reducing optical proximity effects than corrections based only on aerial image calculations.

44 citations


Patent
Han Woo-Sung1, Chang-jin Sohn1
16 Apr 1996
TL;DR: In this article, a photo mask is used to increase the capacitance of a capacitor by improving the proximity effect of a mask pattern, which is suppressed by forming an optical transmittance control film pattern in the transmission area between the individual portions of the mask pattern.
Abstract: A photo mask and method for manufacturing the same increase the capacitance of a capacitor by improving the proximity effect of a mask pattern. The photo mask includes a transparent substrate, an opaque mask pattern for defining an optical transmission area on the substrate, and an optical transmittance control film pattern for suppressing proximity effect in the optical transmission area. The proximity effect is suppressed by forming an optical transmittance control film pattern in the transmission area between the individual portions of the opaque mask pattern, so that the mask pattern shape can be exactly transferred onto a substrate.

42 citations


Journal ArticleDOI
TL;DR: In this paper, an array of deep etched InGaAs/GaAs quantum wires with widths down to 15 nm and dots with minimum diameters of 27 nm have been fabricated by combining lowvoltage electron beam lithography and wet chemical etching.
Abstract: Combining low‐voltage electron beam lithography (EBL) and wet chemical etching, arrays of deep etched InGaAs/GaAs quantum wires with widths down to 15 nm and dots with minimum diameters of 27 nm have been fabricated. The application of low‐voltage EBL strongly reduces the proximity effect during pattern exposure and allows the formation of very homogeneous nanostructure arrays. Low‐excitation photoluminescence (PL) spectroscopy of both wires and dots reveals a structure size dependent blue shift of the emission lines up to 14 meV. This energy shift is caused by lateral confinement and shows a clear dependence on the structure dimensionality. The quantization is calculated with a simple model using only standard InGaAs/GaAs material parameters and the geometrical structure widths measured with a scanning electron microscope (SEM).

37 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used self-assembled monolayers (SAMs) of hexadecane thiol on gold to generate stencil masks with periods down to 100 nm.

33 citations


Journal ArticleDOI
TL;DR: In this article, the dependence of image contrast on resolution, pattern density, and beam energy in proximity ion beam lithography was explored, with feature sizes in the range from 20 to 50 nm and 0.4 μm pitch.
Abstract: Image contrast in proximity ion beam lithography is limited by scattered ions which enter the opaque regions of the mask and exit through the sidewalls of the mask windows. The scattering angles are widely distributed resulting in a ‘‘proximity effect’’ whose range is on the order of the mask‐to‐wafer gap. This problem becomes more severe with increasing pattern density and sets the resolution limit for high density patterns such as interdigital transducers. The only way to counteract this effect is to limit the ion range to a fraction of the mask thickness so that the scattered ions can be recaptured by adjacent sidewalls. This article explores the dependence of image contrast on resolution, pattern density, and beam energy in proximity ion beam lithography. Patterns with feature sizes in the range from 20 to 50 nm and 0.4 μm pitch have been printed with a linewidth change of only 3 nm for a 10% change in dose.

28 citations


Journal ArticleDOI
TL;DR: A fast simulator for electron beam lithography exposure, based on the Boltzmann transport equation is proposed, which is proposed as an alternative to the more common Monte Carlo approach as being much faster since it isbased on the calculation of analytical expressions.
Abstract: A fast simulator for electron beam lithography exposure, based on the Boltzmann transport equation is proposed. Using LITHOS (LITHOgraphy Simulator) it is possible to calculate various important parameters which are useful in performing proximity corrections in e-beam lithography and to predict the resist profile after development. This method is proposed as an alternative to the more common Monte Carlo approach as being much faster since it is based on the calculation of analytical expressions. The results obtained by the analytical model are compared to existing experimental results and to those obtained by other methods. The case of a multilayer sample is considered as being of importance in electron beam patterning. All important phenomena (backscattering, secondary electrons) are included in the calculations.

25 citations


Patent
23 Jul 1996
TL;DR: In this article, a correcting method and a correcting apparatus which considers a proximity effect when drawing a pattern of a photomask by an energy beam such as an electron beam or a light proximity effect was proposed.
Abstract: A correcting method and a correcting apparatus which consider a proximity effect when drawing a pattern of a photomask by an energy beam such as an electron beam or a light proximity effect when performing exposure by using a photomask and obtaining a transfer pattern and can correct the pattern data so that the finally obtained transfer pattern becomes close to the designed pattern even if they occur. Where there is another pattern at the periphery of a pattern in a certain mesh at a center which is subjected to mesh registration, it is decided that a mutual proximity effect will occur at the time of drawing, only a part of the patterns in which it can be considered that the mutual proximity effect will occur are subdivided, and the dosage amount data at drawing are assigned to individual subdivided patterns. Where there is not another pattern at the periphery, it is decided that the self proximity effect will occur at the time of drawing, the peripheral portions of the pattern in which it can be considered that the self proximity effect will occur are subdivided, and the dosage amount data at drawing are assigned to individual subdivided patterns.

25 citations


Journal ArticleDOI
TL;DR: In this article, an iterative algorithm that exploits the overall model to compute corrections of the proximity effect in the nanometer range is presented, where the problem is set up as a convex, constrained, and nonlinear minimization problem.
Abstract: The resolution of electron‐beam lithography is limited by the proximity effect, which is due to the scattering of incident electrons. This scattering leads to exposure of neighboring areas and, therefore, to pattern degradations. It can be modeled by convolving the incident dosage distribution with a point spread function and the subsequent developing process by a pointwise nonlinear function. This article presents an iterative algorithm that exploits this overall model to compute corrections of the proximity effect in the nanometer range. A convex error function is derived that satisfies all physical constraints and the problem is set up as a convex, constrained, and nonlinear minimization problem. The correction algorithm is a hybrid of the conjugate gradient and gradient projection algorithms. Its performance for a test pattern is evaluated and then a detailed analysis of the effect of inaccuracies of the model parameters and of the necessary quantization of the corrected incident dosage distribution is presented.

24 citations


Patent
Hiroyuki Kanata1
29 Apr 1996
TL;DR: In this article, a subject pattern and a lower layer pattern are divided into small regions of a constant dimension using identical mesh of division, and an irradiation energy on each small region is determined by taking backward scattering from lower level pattern into account.
Abstract: A subject pattern and a lower layer pattern are divided into small regions of a constant dimension using identical mesh of division. Considering of the spreading of charged particle beam due to backward scattering, the small region is set to be, for example, a few μm square. An irradiation energy on each small region is determined by taking backward scattering from lower level pattern into account. Calculation is simplified because a pattern is represented by a pattern areal density. A region with a lower level pattern and a region without a lower level pattern can be exposured by charged particle beam with a comparable accuracy.

22 citations


Journal ArticleDOI
TL;DR: In this article, a reaction diffusion model is developed where the diffusion coefficient D is a function of the crosslink density Θ. This function D(Θ) is evaluated for a given range of postexposure bake parameters in each case and the information obtained is used for proximity correction, also using the e−beam lithography simulation tool LITHOS.
Abstract: The method of single pixel exposures is applied for the determination of acid diffusion effects in negative chemically amplified resists. The wide range of crosslink density values contained in a single dot is used to determine nonlinear diffusion parameters. A reaction‐diffusion model is developed where the diffusion coefficient D is a function of the crosslink density Θ. This function D(Θ) is evaluated for a given range of postexposure bake parameters in each case and the information obtained is used for proximity correction, also using the e‐beam lithography simulation tool LITHOS. In order to test the model under different circumstances, two resists are studied, namely, the commercially available SAL‐601 and the experimental epoxy novolac resist EPR. The diffusion coefficient is evaluated for each resist under the best processing conditions. The proximity correction procedure is fully demonstrated in the case of SAL‐601.

Patent
17 Jul 1996
TL;DR: In this paper, an electron beam is irradiated on a sample surface to expose plurality of patterns on the sample surface, wherein an exposure dose is determined according to an exposure intensity distribution function, thereby correcting a proximity effect, while the exposure dose also controlled to correct for a beam blur induced by a Coulomb interaction effect.
Abstract: Disclosed herein is a method of electron beam cell projection lithography, employing an electron beam which is shaped by a first aperture having a first opening and a second aperture having a plurality of second openings. The shaped electron beam is irradiated on a sample surface to expose plurality of patterns on the sample surface, wherein an exposure dose is determined according to an exposure intensity distribution function, thereby correcting a proximity effect, while the exposure dose is also controlled to correct for a beam blur induced by a Coulomb interaction effect. The exposure intensity distribution function includes a term for correcting the Coulomb interaction effect.

Journal ArticleDOI
TL;DR: The role of the form of the elastic and inelastic cross section in Monte Carlo simulations of electron-solid scattering has been studied to understand the processes whereby energy is deposited by electrons as they traverse thin films as discussed by the authors.
Abstract: The role of the form of the elastic and inelastic cross section in Monte Carlo simulations of electron–solid scattering has been studied to understand the processes whereby energy is deposited by electrons as they traverse thin films Specifically we are interested in these phenomena as they relate to proximity effects in electron‐beam lithography and the detection of electrons by a Schottky diode with a patterned absorber overlayer Lithographic point and line spread functions have been measured in three resist materials We show that the inclusion of discrete inelastic scattering events whereby fast secondaries are generated is essential for matching simulation and experiment The secondaries are crucial in determining the shape of the spread functions in the 01–1 μm regime and must be included to model proximity effects Further, the fitting of line spread function simulations to experiment allows the accurate prediction of dot spread functions and applied dose thresholds as well as three dimensional resist profiles The form of the elastic cross section is important in determining the energy loss in, and transmission through, thin metallic films For electron energies where the film transmission is low, the Mott cross section provides a more accurate simulation than the screened Rutherford cross section

Journal ArticleDOI
Yukinori Ochiai, Shoko Manako, Seiji Samukawa1, Kiyoshi Takeuchi1, Toyoji Yamamoto1 
TL;DR: In this article, a 40nm polysilicon gate NMOSFET was confirmed to have a good line width control, using a single layer mask as a single-layer mask.

Patent
25 Jun 1996
TL;DR: In this article, a method and apparatus of projection lithography in which the contrast introduced into a radiation sensitive material caused by the proximity effect is effectively removed in a single exposure is presented.
Abstract: The invention is directed to a method and apparatus of projection lithography in which the contrast introduced into a radiation sensitive material caused by the proximity effect is effectively removed in a single exposure. Patterned radiation is transmitted through a lens system with at least one lens and a back focal plane filter. The back focal plane filter has at least two apertures, an image aperture and a proximity effect correction aperture. Patterned radiation is transmitted through the image aperture and introduces the desired image into the energy sensitive resist material. A portion of the inverse pattern radiation is transmitted through the proximity effect correction aperture and onto the energy sensitive resist material to effectively remove the contrast therein caused by the proximity effect.

Journal ArticleDOI
TL;DR: In this article, a thinner resist process is proposed to improve the optical proximity effect and microloading effect in the etch process and a novel pattern transfer process is demonstrated using the practical limit of resolution capability up to k 1 = 0.4.
Abstract: Potential capabilities of a thinner resist process are verified from both points of view—lithography and etch processes. The experimental results for lines and spaces indicate that a larger gain in process window could absolutely go along with reduced resist thickness. It is found that the thinner resist process could successfully improve not only the optical proximity effect in lithography processes but also the microloading effect in etch processes. It is also demonstrated that an application of the thinner resist process would be useful to further extend advantages of higher numerical aperture exposure systems. The thinner resist process is found to be effective to improve the process window of contact holes. Moreover, a novel pattern transfer process could be demonstrated using the practical limit of resolution capability up to k1=0.4.

Patent
Haruki Tamae1
24 Oct 1996
TL;DR: In this article, a method of correcting proximity effect of a pattern formed on an object is disclosed where the pattern on the object is formed by exposing a beam pattern which is created based on pattern data expressing the pattern.
Abstract: A method of correcting proximity effect of a pattern formed on an object is disclosed where the pattern on the object is formed by exposing a beam pattern which is created based on pattern data expressing the pattern. The method includes the steps of calculating an ideal beam-intensity profile of the beam pattern which creates the pattern on the object in a desired form, the ideal beam-intensity profile having gradual changes of a beam intensity, dividing edges of the pattern into line segments in the pattern data, the line segments being provided with displacement codes which represent at least one of first displacements of the line segments in normal directions thereof and second displacements of the line segments in extending directions of the line segments, and changing the displacement codes to displace the line segments such that a beam-intensity profile obtained from the pattern data becomes closer to the ideal beam-intensity profile.

Journal ArticleDOI
TL;DR: In this article, the resist response near the center of printed features that are much smaller and much larger than the characteristic range of the long range scatter was measured and extended to determine the effective backscatter coefficient at lower beam energies.
Abstract: The effective backscatter coefficient η is a quantity that must be known with precision so that the proximity effect can be adequately compensated to minimize feature size variations in electron beam lithography. A unique technique to measure η that does not require the precise form of the backscatter dose distribution was employed. This method simply compares the resist response near the center of printed features that are much smaller and much larger than the characteristic range of the long range scatter. This technique was already employed to estimate the backscatter coefficient on Si at 100 keV beam energies. We have extended this measurement to determine η at lower beam energies. Results show that η on Si is 0.38, 0.50, 0.55, and 0.46 for 100, 50, 40, and 20 keV beam energies, respectively. Monte Carlo simulations indicate a trend of decreasing η with increasing beam energy, consistent with the experimental results except at 20 keV.

Journal ArticleDOI
TL;DR: In this article, the authors investigated the optical proximity effects arising from individual resist characteristics in photoresist exposure and development simulation using the SOLID and Prolith/2 programs and found that optical proximity effect is independent of the exposure parameters but greatly affected by the development process and is shown to be a function of the Mack parameter, n, which is related to the resist contrast.

Patent
26 Jun 1996
TL;DR: In this paper, an auxiliary pattern comprising a material film having low transmittance for the exposure light around the main pattern is proposed to improve the depth of focus of an isolated pattern and to decrease the optical proximity effect in the edge of a periodical pattern edge or the isolated pattern.
Abstract: PROBLEM TO BE SOLVED: To improve the depth of focus of an isolated pattern and to decrease the optical proximity effect in the edge of a periodical pattern edge or the isolated pattern by arranging an auxiliary pattern comprising a material film having low transmittance for the exposure light around the main pattern. SOLUTION: Auxiliary patterns 3-5 are arranged with the same pitch parallel to one another on one side of an isolated line 2. Further, the same auxiliary patterns 3a-5a are arranged with the same pitch on the other side of the line 2. These auxiliary patterns 3-5 and 3a-5a have 30-50% transmittance for the exposure light. Namely, auxiliary patterns 3-5 and 3a-5a having controlled transmittance are formed around the main pattern. Thereby, a periodical property can be added to the isolated line 2 as the main pattern, the isolated space, the periodical lines or the edge of the isolated space, which can decrease the optical proximity effect.

Journal ArticleDOI
TL;DR: In this article, the authors applied continuous path control applied in conjunction with data fracturing into BEZIER curves appears to be particularly suited for this purpose, which avoids breaking the individual lines down into polygons, which implies an inevitably greater edge roughness.

Journal ArticleDOI
TL;DR: In this article, an extension of Pattern Shape Modification for Heterogeneous Circuits (PYRAMID) was proposed to correct a circuit regionwise where each region is homogeneous.
Abstract: PYRAMID, a hierarchical rule‐based scheme for proximity effect correction in electron‐beam lithography, has been demonstrated to enable rapid correction of circuit patterns exposed on homogeneous substrates with minimum feature size of 0.1 μm. The current version of PYRAMID modifies the shape of circuit primitives. So far, it has been limited to homogeneous substrates only. This article describes an extension of our pattern shape modification scheme for heterogeneous substrates. The space‐varying nature of a heterogeneous substrate makes exposure estimation and proximity correction more involved than in case of a homogeneous substrate. As a result, the schemes developed for homogeneous substrates cannot be used directly used to deal with heterogeneous substrates. A simple but effective approach has been implemented in an effort to allow our shape modification scheme to correct patterns on heterogeneous substrates. The principal idea is to correct a circuit region‐wise where each region is homogeneous. A transition zone is defined between two adjacent regions of a substrate and additional adjustment is done on primitives within transition zones to get smooth transition between regions. A fuzzy factor, adjustment factor, is introduced to make the convergence of correction faster. This article describes the region‐wise proximity effect correction scheme proposed for heterogeneous substrates and presents preliminary simulation results indicating successful correction.

Journal ArticleDOI
TL;DR: In this paper, the Coulomb interaction effects are found to be the most critical issue in cell projection lithography, because it affects resolution, linewidth accuracy and throughput, and a high-resolution and high-sensitivity resists have been developed.
Abstract: NEC's recent progress in the development of electron-beam (EB) cell projection technology is reviewed. To make it practical, not only the development of a high-performance EB direct writing system but also the establishment of its peripheral technologies in the micro-fabrication process are pursued. In order to obtain high lithographic performance in the EB cell projection lithography system HL-800D (Hitachi), the fundamental effects in EB lithography such as the Coulomb interaction effects, the proximity effect and electron scattering by cell projection aperture (EB mask), have been studied. In addition, high-resolution and high-sensitivity resists have been developed. The Coulomb interaction effects are found to be the most critical issue in cell projection lithography because it affects resolution, linewidth accuracy and throughput. For high resolution, the beam current was reduced to suppress the Coulomb interaction. As a result, a resolution of 0.15 µ m, which is sufficient for fabricating a 1G DRAM, was obtained using the high-resolution resist. To achieve high-linewidth accuracy of less than ±5% for 0.2 µ m lines-and-lines (L/S), optimization of the EB mask structure and the development of a proximity effect correction method which includes the Coulomb interaction effect correction were carried out. Inspection technology for devices of 0.2 µ m or less was also investigated in order to accurately measure linewidth, and to detect defects, particularly those caused by shot stitching error. Finally, the cell projection technology has been applied to the device fabrication of a 1G DRAM, and was demonstrated to be feasible for the development of futuristic advanced devices.

Patent
03 Dec 1996
TL;DR: In this paper, the authors proposed a method to prevent the dissociation of pattern dimensions due to an optical proximity effect and a depth of etching effect by moving sides of a first mask pattern based on an amount of correction corresponding to a distance between patterns.
Abstract: PURPOSE: To prevent the dissociation of pattern dimensions due to an optical proximity effect and a depth of etching effect by moving sides of a first mask pattern based on an amount of correction corresponding to a distance between patterns. CONSTITUTION: A region is divided into three subregions. In a subregion 36 wherein a distance between patterns is 0.45μm, 0.17μm offsets are formed on both sides of a fine line to prevent the line width from becoming thin by 0.017μm due to dimensional dissociation. In a dissociation 38 wherein a distance between patterns is 1.25μm, 0.004μm offsets are formed. In a subregion 40 wherein a distance between patterns varies between 0.45μm and 1.25μm, 0.011μm offsets are formed. In the subregion 40, the average distance between patterns is 0.85μm and 0.011μm is a dimensional dissociation amount corresponding to the average distance between patterns. By correcting mask patterns in a manner mentioned above, a pattern can be formed with the optical proximity effect and the depth of etching effect being eliminated.

Journal ArticleDOI
TL;DR: In this article, the influence of stepper parameters on optical proximity effects is explored using simulation, in particular numerical aperture and partial coherence, for a variety of feature sizes and types.
Abstract: Using simulation, the influence of stepper parameters on optical proximity effects is explored. In particular, numerical aperture and partial coherence will be examined for a variety of feature sizes and types. Both one-dimensional and two-dimensional mask features will be studied. The impact of resist contrast will also be explored. In addition to the iso-dense print bias as a metric of proximity effects, the depth of focus as an overlapping of two focus-exposure process windows, one for the isolated line and one for the dense line, will be used. The optimum NA and σ will give the maximum depth of focus calculated from the overlapped process window. Finally, the statistical CD distribution methodology will be used to find the stepper settings that minimize the linewidth distribution spread for a given process.

Journal ArticleDOI
TL;DR: In this paper, site-controlled Ga droplet formation on CaF 2 film by means of focused electron beam exposure was applied to the fabrication of a two-dimensional ordered nanostructure array.
Abstract: Site-controlled Ga droplet formation on CaF 2 film by means of focused electron beam exposure was applied to the fabrication of a two-dimensional ordered nanostructure array. The proximity effect of this method was investigated as a function of the period of the dot array and the electron dose per dot site. A two-dimensional Ga droplet array, in which the diameter of each droplet was approximately 20 nm, with a 100 nm period was obtained. The minimum period which can control the formation site was found to be related to the exposed region at the As/CaF 2 interface, and may be reduced by improving the process conditions such as by using a small beam spot and a thinner As film.

Journal ArticleDOI
TL;DR: In this paper, a new algorithm for calculating the optimum dose for reticle making based on the dose formula method and the representative figure method is proposed, which is the main feature that dose evaluation points are fixed at individual small regions whose size is sufficiently small compared with the backscattering range.
Abstract: Proximity effect correction for reticle making by the dose correction method is discussed. A new algorithm for calculating the optimum dose is proposed, which is based on the dose formula method and the representative figure method. Its main feature is that dose evaluation points are fixed at individual small regions whose size is sufficiently small compared with the backscattering range. The calculation speed of the computer is evaluated which is sufficient to suppress the correction time to less than the writing time. The required calculation speed is 500 MIPS×4 CPU at most for a minimum feature size greater than 0.2 μm on the reticle. This result suggests that the real‐time proximity effect correction is possible for making reticles. Furthermore, when the algorithm is applied to x‐ray mask fabrication and direct writing process, the calculation time can be suppressed to less than the writing time.

Patent
16 Jan 1996
TL;DR: In this paper, a method and apparatus of projection lithography in which the contrast introduced into a radiation sensitive material caused by the proximity effect is effectively removed in a single exposure is presented.
Abstract: The invention is directed to a method and apparatus of projection lithography in which the contrast introduced into a radiation sensitive material caused by the proximity effect is effectively removed in a single exposure. Patterned radiation is transmitted through a lens system with at least one lens and a back focal plane filter. The back focal plane filter has at least two apertures, an image aperture and a proximity effect correction aperture. Patterned radiation is transmitted through the image aperture and introduces the desired image into the energy sensitive resist material. A portion of the inverse pattern radiation is transmitted through the proximity effect correction aperture and onto the energy sensitive resist material to effectively remove the contrast therein caused by the proximity effect.

Patent
Mamoru Nakasuji1
27 Dec 1996
TL;DR: In this article, the authors described a method for accurately performing proximity-effect compensation exposure, even in cases where negative-type particle-beam-sensitive resist material is used, and demonstrated that the aperture sizes are not uniform.
Abstract: Methods and apparatus for accurately performing proximity-effect compensation exposure are disclosed, even in cases where negative-type particle-beam-sensitive resist material is used. On a compensation mask, regions corresponding to regions on an underlying wafer that are to receive die patterns are subdivided into a multiple subfields having a pitch smaller than the spread width of particles back-scattered from the wafer 7. Certain of the subfields on the compensation mask define an aperture allowing passage therethrough of a particle beam. The aperture sizes are not uniform. Rather, each aperture is equal in area to an area of the nominally unexposed regions of the subfield less a prescribed constant area. The particle beam, after passing through a beam-shaping aperture, irradiates the compensation mask via an objective lens, and is scanned across the compensation mask by deflectors.

Patent
15 Mar 1996
TL;DR: In this article, the problem of maintaining a substrate to be treated in an acid atmosphere before irradiation for patterning was solved by using a TMAH soln to remove excess acid on the rear surface of the substrate.
Abstract: PROBLEM TO BE SOLVED: To decrease influences of the proximity effect and to obtain an extrafine resist pattern which is close to the aimed dimension and has a little dimensional error by maintaining a substrate to be treated in an acid atmosphere before irradiation for patterning. SOLUTION: First, a tungsten silicide film 5 is formed on a substrate 6 to be treated and then a photoresist layer 1 is formed thereon by spin coating and prebaked. Then the substrate is dipped in an acetic acid soln. to impregnate the resist with the acid, then washed with pure water to remove the excess acid on the rear surface of the substrate and dried. Thus, by maintaining the substrate in an acid atmosphere, an enough amt. of acid which does not appear as a pattern in the developing process is made to permeate in the resist layer 1. After the treatment, the substrate is exposed to electron beams to draw a line pattern by an electron beam drawing device. Then the resist is baked after exposure, cooled and developed with a TMAH soln. COPYRIGHT: (C)1997,JPO