scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 2006"


Journal ArticleDOI
TL;DR: Hydrogen silsesquioxane has been identified as a superior resist for p-beam writing, allowing the production of high-aspect-ratio structures down to 22 nm.
Abstract: Proton beam writing (p-beam writing) is a promising new direct-write lithographic technique for three-dimensional nanofabrication. In p-beam writing a megaelectronvolt proton beam is focused to a sub-100-nm spot size and scanned over a suitable resist material. Unlike electrons, when a proton beam interacts with resist it follows an almost straight path resulting in high aspect ratio structures with vertical, smooth sidewalls. The secondary electrons induced by the primary proton beam have low energy and therefore limited range, resulting in minimal proximity effects. Hydrogen silsesquioxane has been identified as a superior resist for p-beam writing, allowing the production of high-aspect-ratio structures down to 22 nm.

118 citations


Journal ArticleDOI
TL;DR: In this article, the simulation of isolated trench patterning has been performed using the Monte Carlo simulation software SELID™, and various key process parameters, including beam energy, exposure dose, substrate, acid diffusion length, and development time have been analyzed by means of the point-spread function, secondary electron distribution, energy distributions, and developed resist profiles.
Abstract: To better understand the proximity effect of electron-beam lithography and its limitation on aspect ratios in a thick resist film (>1.0μm), the simulation of isolated trench patterning has been performed using the Monte Carlo simulation software SELID™. Various key process parameters, including beam energy, exposure dose, substrate, acid diffusion length, and development time have been analyzed by means of the point-spread function, secondary electron distribution, energy distributions, and developed resist profiles. Exposure dose and development time are optimized to achieve vertical sidewalls, and the acid diffusion length has been adjusted to slightly change the top and bottom dimensions of the patterned trench. The simulation results show that increasing the beam energy significantly reduces the forward proximity effect and thereby increases the trench aspect ratio. In contrast, the substrate generally has only a minor effect in terms of the resist profile because its backscattering effect covers a lo...

42 citations


Journal ArticleDOI
TL;DR: In this article, the 3D spatial distribution of exposure in the resist, in particular, depth-dependent proximity effect, is analyzed in detail through computer simulation as a first step toward developing a 3D proximity effect correction scheme.

28 citations


Journal ArticleDOI
TL;DR: In this article, a 3D point spread function is used to control the e-beam dose distribution within each circuit feature in order to achieve a desired 3D remaining resist profile after development.
Abstract: Proximity effect in e-beam lithography is mainly due to the “nonideal” distribution of exposure (energy deposited in the resist). The proximity effect correction schemes developed so far employ a two-dimensional (2D) model, i.e., exposure variation along the resist depth dimension is not considered. The exposure distribution estimated by the 2D model can be significantly different from the actual exposure distribution, especially for the nanoscale patterns. In this article, a three-dimensional (3D) correction method which uses a 3D point spread function in controlling e-beam dose distribution within each circuit feature in order to achieve a certain desired 3D remaining resist profile after development is described. The dose to be given to each region of a feature is determined based on the estimated remaining resist profile (with the emphasis on the sidewall shape) through iterations. Simulation results demonstrating the potential improvements by the 3D correction are provided.

21 citations


Patent
12 Jul 2006
TL;DR: In this paper, a drawing method and a device for drawing with the amount of beam irradiation for executing highly accurate compensation for variation of dimension was provided. But, the drawing method was not suitable for high dimensional drawings.
Abstract: PROBLEM TO BE SOLVED: To provide a drawing method and a device for drawing with the amount of beam irradiation for executing highly accurate compensation for variation of dimension. SOLUTION: This method of charged particle beam lithography comprises a calculation process for the amount of irradiation for proximity effect correcting (S504), a calculation process for the amount of irradiation for residual correcting for proximity effect correcting (S102), and an irradiation process (S516) for irradiating a sample with a charged particle beam with the amount of irradiation provided by correcting the corrected amount of irradiation such as the amount of irradiation for proximity effect correcting, by using the amount of irradiation for residual correcting for proximity effect correcting. By this invention, the correcting residual can be reduced. COPYRIGHT: (C)2008,JPO&INPIT

19 citations


Proceedings ArticleDOI
01 Nov 2006
TL;DR: In this article, the authors have shown that process effects induced by extending the softbake and post-exposure bake (PEB) temperature in the process flow of chemically amplified photoresists can lead to significant improvements in depth-of-focus (DOF) and exposure latitude (EL) and small geometry printing capability (resolution).
Abstract: We have shown that process effects induced by extending the softbake (SB) and post-exposure bake (PEB) temperature in the process flow of chemically amplified photoresists can lead to significant improvements in depth-of-focus (DOF) and exposure latitude (EL) and small geometry printing capability (resolution). Through careful optimization of SB and PEB temperature, dense line and space structures of 160 nm and below can be printed with substantially big process margin, using binary masks and 248 nm lithography under the half annular illumination mode. Besides, we have also shown that the optical proximity effect, namely the non-linearity, proximity bias and line-end shortening in specific is tunable by changing the SB and PEB temperatures. The main objective of this study is to demonstrate how, using 248 nm lithography with binary masks and with a moderate resolution enhancement technique (RET); the process latitude can be improved besides minimizing the impact from optical proximity effect.

14 citations


Patent
09 Nov 2006
TL;DR: In this article, a drawing pattern to be corrected is divided by a rough mesh for foggy effect correction and a fine mesh for proximity effect correction, and a proportion of an area occupied by the pattern is drawn for each of the meshes is obtained An accumulated energy based on foggy effects and proximity effect in execution of exposure is calculated in a state in which a correction for a calculating object mesh is not carried out at all.
Abstract: PROBLEM TO BE SOLVED: To provide an electron beam drawing method and an electron beam drawing apparatus capable of highly accurate dimension correction of drawing pattern considering both a proximity effect and an foggy effect, preventing fluctuation in dimension characteristic due to roughness or density of the drawing pattern, and improving plane uniformity in a resist step SOLUTION: A drawing pattern to be corrected is divided by a rough mesh for a foggy effect correction and a fine mesh for a proximity effect correction, and a proportion of an area occupied by the pattern to be drawn for each of the meshes is obtained An accumulated energy based on a foggy effect and a proximity effect in execution of exposure is calculated in a state in which a correction for a calculating object mesh is not carried out at all An exposure amount in the fine mesh for a proximity effect correction is obtained by an initial calculation so that the influence of the foggy effect and the proximity effect is reduced from the obtained accumulated energy, and a pattern and a dimension which can disregard the influence of the foggy effect and the proximity effect are coincident with each other by the calculated accumulated energy Recalculation is executed so that the pattern and the dimension which can disregard the influence of the foggy effect and the proximity effect are coincident with each other with the influence of the foggy effect and the proximity effect fixed The recalculation is repeated until desirable precision reaches in a dimension COPYRIGHT: (C)2007,JPO&INPIT

7 citations


Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, the impact of a formulated surface conditioner, OptiPatten ® Clear, with bifunctional capabilities: improved non-collapse window and defect control, was tested using a 193 nm lithographic process.
Abstract: One key challenge in sub-100 nm lithography is line pattern collapse. Pattern collapse has become an obstacle in device manufacturing processes requiring dense-high aspect ratio resist lines. In addition to pattern collapse, defect control continues to be a factor in IC manufacturing. In this study, the impact of a formulated surface conditioner, OptiPatten ® Clear, with bifunctional capabilities: improved non-collapse window and defect control, was tested using a 193 nm lithographic process. To determine pattern collapse performance, 100 nm dense lines/space (L/S) and 100 nm 1:0.9 L/S were patterned into 240 nm of resist on 200 mm wafers. The wafers were then processed with developer and a formulated surface conditioner and compared to wafers processed with developer and DI water. When analyzed, wafers processed with surface conditioner had a 33% increase in Depth-of-Focus (DOF) and a 25% increase in Critical Normalized Aspect Ratio (CNAR) compared to DI water. Optical proximity effects are often credited for having a first-order influence on pattern collapse. Trench feature data was generated using an Scanning Electron Microscope (SEM) to compare the pattern collapse performance of OptiPattern Clear to DI water. The data strongly suggests optical proximity effects are a second-order factor which OptiPattern ® Clear resolves. Defect performance for OptiPattern Clear was measured by comparison with a DI water baseline. A production reticle was used to process wafers patterned with 120 nm L/S with 240 nm of resist. The wafers processed with OptiPattern ® Clear had similar defect performance as the DI water.

6 citations


Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this paper, the authors investigated the most important differences between the functionality of a same implant layer making use of the two wavelengths, and showed that an adjustment of the reticle OPC by adding serifs can be beneficial to the I-line layer to diminish corner rounding.
Abstract: For capacity reasons, it is interesting for us to have the flexibility of switching lithography processes between DUV and I-line steppers. The following discussion concentrates on high energy tilted implants of CMOS technology, critical enough to be worth running on the more expensive DUV equipment. As far as the differences are understood at the level of the printing, as well as the dissimilarities during the following implantation steps, it is possible using the same reticle and with minor target adjustments, to switch between the 2 tools/processes when required. This paper investigates the most important differences between the functionality of a same implant layer making use of the two wavelengths. Taken as high energy implant mask for several successive ion implantations, the resist film considered here is 1.6 pm thick. The taper profiles of I-line and DUV resist are shown after development, and after the successive implantation steps. Both wavelengths provide straight profiles after development, with one main difference: a slight footing for the I-line resist. This can be very well seen on the corresponding top down pictures revealing more tapers for the I-line process than for DUV. The first implantation step following development influences the profiles the most. In fact the profile of the DUV resist changes considerably while the one with I-line resist remains unchanged. That can be explained by the fact that the aliphatic structure of DUV photoresist is less resistant to degradation by ion bombardment as compared to the highly aromatic chemical structure of I-line photoresist. The subsequent implant steps of lower energy do not further influence the tapers, not even in the case of the I-line film. Therefore the biggest shrinkage occurs during the first implantation and all the next ion sequences will see this first deformation without changing it. Finally, simulation show that, an adjustment of the reticle OPC by adding serifs can be beneficial to the I-line layer to diminish corner rounding where the footingkapering can be worse.

6 citations


DOI
01 Jan 2006
TL;DR: In this paper, an X-ray mask technique using a free suspended membrane of 1 μm thick silicon nitride with 2 pm high gold absorbers was introduced, and the entire process for mask production, the limits of electron beam lithography could be determined.
Abstract: In this work the process for X-ray lithography in PMMA was further developed to generate structures in PMMA layers with lateral dimensions in the submicron range and heights of several micrometers resulting in aspect ratios of 10 and higher. PMMA films of 2 ÷ 10 μm could be structured with aspect ratios up to more than 12 using Synchrotron radiation with λ c = 0.4 nm after the systematic analysis and optimization of the development- and exposure process. An X-ray mask technique using a free suspended membrane of 1 μm thick silicon nitride with 2 pm high gold absorbers was introduced. After optimizing the entire process for mask production, the limits of electron beam lithography could be determined. Due to the proximity effect, CAD data of lateral dimensions need to be shrunken by -100 ÷ 150 nm per edge in order to receive nominal values in the PMMA structures produced via lithography. Locally minimized walls of resist may be used as templates for electroplating to reproducible create minimal slit sizes down to 75 nm in 2 μm high gold absorbers with tolerances of ± 25 nm on the same substrate. To spincoat the requested PMMA films, resist systems offered for electron beam lithography were used. Both examined resists, MicroChem 950k PMMA A11 and All Resist AR SX-P 6540, have a higher contrast in the dose regime above 1 kJ/cm 3 compared to the dose regime below this value. Surface tension during drying as part of the development process limits the achievable aspect ratio as function of the actual structure height for walls and columns. Rising the pre-bake temperature of MicroChem 950k PMMA A11 from 111°C to 180°C results in more stable structures. Adding 10 ppm fluoride tenside to the rinse bath during the wet development process reduces the structure collapse. Using an adhesion layer out of polyimide avoids cracks in the resist even for lateral dimensions of several 10 pm. The proximity gap during X-ray exposure influences the structure quality via diffraction effects significantly. Reducing this gap from 100 μm to 15 μm minimizes the influence of this effect. The process optimized in this study could be used to generate submicron structures for fluidic applications with aspect ratios more than 10. It enables the creation of polymer moulds and masks for the production of submicron structures in metals. By electroforming, e.g. gold structures with aspect ratios more than 12 and lateral dimensions around 500 nm were fabricated. This allows for batch-fabrication of SAW-filters for frequencies above the presently used ones. In addition the optimized process can be used to build metallic filters with high aspect ratios for the use as band-pass filters with sharp cut-off-frequencies in the infrared.

5 citations


Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, the phase change memory (PCMIMM) was applied to a mix-and-match PCM manufacturing process for 40-100nm contact holes for application of PCM device prototyping.
Abstract: ZEP520A e-beam processes for 40-100nm contact holes were studied for application of phase change memory (PCM) device prototyping. Resist baking, e-beam and development process parameters were investigated on the isolated and semi-dense (1:3) contact holes. PAB temperature for minimum exposure dose-to-size (E SIZE ) is 70°C. E SIZE of 200°C PAB is 250 μC/cm 2 while that of 70°C is 120 μC/cm 2 for 100nm contact hole. E SIZE of contact hole increases very quickly as the CD gets smaller than 60nm. CDs with beam currents of 100pA and 200pA are nearly the same while that with 2nA differs much. Sidewall profiles of contact holes exposed by 100pA and 200pA are near 90° while that exposed with 2nA is tapered. E SIZE decreases with development time. Bottom of contact hole is broadened for prolonged development time. CDs after PDB are not changed. There is little difference in CD between isolated and semi-dense patterns. CD uniformity on the corner and center of contact-hole array are around 5% (+/-3σ), showing a very weak proximity effect. Inter-layer mix-and-match processes were applied to PCM manufacturing. Cross-shaped alignment marks results in the strongest signal waveform on TiW bottom electrode than oxide and TiN/Ti. Mix-and-match PCM device structure was, for the first time, ever demonstrated.

Proceedings ArticleDOI
04 May 2006
TL;DR: In this paper, an empirical determination of the relative CD error as a function of in-vacuum post exposure delay (PED) was made and the effects of local pattern density and the impact of reticle proximity effect correction on the CD bias error were also considered.
Abstract: This paper includes an empirical determination of the relative CD error as a function of in-vacuum post exposure delay (PED). The effects of local pattern density and the impact of reticle proximity effect correction on the in-vacuum PED CD bias error are also considered. Results of dose compensation to improve CD uniformity on both artifact and production reticles are reviewed. The results show that by applying an exposure time dependent dose correction, the CD bias dependency upon in-vacuum PED is effectively compensated. In addition, the results show that dose compensation is effective at correcting for the in-vacuum PED dependency of local pattern density proximity errors. Finally, the paper concludes with a brief discussion of the relationship between existing reticle CD correction techniques for errors including electron beam fogging, etch loading, stable reticle process spatial CD non-uniformities and the new time dependent dose correction.

Journal ArticleDOI
TL;DR: In this article, the optical proximity effects before and after thermal reflow are modeled and simulated for a top-view image, and the possibility of thermal reflows for the formation of a sub-100 nm pattern is shown by simulating a model-based optical proximity correction of a 45 nm contact hole.
Abstract: For the patterning of sub-100 nm contact holes, thermal reflow is suggested as a good method of resolving the cost problems of resolution-enhancement technology and the new lithography technology. However, it is difficult to use this process in lithography process because the optical proximity effect of thermal reflow is quite severe. In this study, the optical proximity effects before and after thermal reflow are described. Resist reflow is modeled and simulated for a top-view image. For repeated contacts and random contacts, thermal reflow biases are modeled and compensated for pattern arrays. Simulation results agree well with the experiment results in a small error range according to baking temperature, time, and pitch size. For the optical proximity correction of thermal reflow, two-stage corrections, and model-based optical proximity corrections before and after thermal reflow, are described. The model-based optical proximity correction before thermal reflow can be required using pull-simulated images rather than an aerial image as pattern critical dimension shrinks down to sub-100 nm. The possibility of thermal reflow for the formation of a sub-100 nm pattern is shown by simulating a model-based optical proximity correction of a 45 nm contact hole.

Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this paper, the tri-layer resist (TLR) process consisted of multi-function hard mask (MFHM) material and spin on carbon (SOC) material for pattern transfer.
Abstract: ArF lithography has been driven into sub-100 nm dimensions using high numerical apertures, phase-shift mask, modified illumination, and optical proximity correction. As feature size continues to shrink, photoresist thickness as an imaging layer has been decreased for the improvement of lithographic process window and pattern collapse margin. Moreover, ArF photoresist has the inherent demerit of poor etch resistance in comparison with KrF photoresist and we have to use inorganic hard mask materials such as silicon-nitride, -oxide, poly-silicon, and silicon oxynitride as a pattern transfer layer. The cost-of-ownership (COO) of CVD process related to the application of inorganic hard mask is much more expensive than that of spin-on process. Therefore, several processes including bi-layer resist process (BLR), and tri-layer resist process (TLR)1 have been investigated. This paper will focus on TLR process consisted of multi-function hard mask (MFHM) material and spin on carbon (SOC) material.

Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, the authors present the experimental results of high aspect ratio trench patterning in 1.0-4.0 μm CAR resists with Leica VB6 operating at 50 keV.
Abstract: The drive for higher magnetic storage density is correspondingly pushing to minimize the lithographic critical dimensions of the read/write components of thin film magnetic recording heads while maximizing the aspect ratio. Electron beam lithography can provide adequate resolution for research and development of magnetic heads. In this work, we present the experimental results of high aspect ratio trench patterning in 1.0-4.0 μm thick single-layer CAR resists with Leica VB6 operating at 50 keV. Although the maximum achievable aspect ratio in thick resist is limited by the forward scattering of the primary electron beam as it passes through the resist towards the resist-substrate interface, a sub-50 nm isolated top pole trench structure with an aspect ratio about 20:1 has been achieved by using e-beam SAFIER shrink process. To better understand the electron beam proximity effect on the resist profile in thick resists, electron beam simulation has been implemented. The theoretical limit of resist profiles has been predicted by simulation.

Journal ArticleDOI
01 Jul 2006-Pramana
TL;DR: In this article, a simple method, based on the proximity effect of electron beam lithography, alleviated by exposing various shapes in the pattern of incident electron exposures with various intensities, was applied to fabricate silicon point-contact devices.
Abstract: A simple method, based on the proximity effect of electron beam lithography, alleviated by exposing various shapes in the pattern of incident electron exposures with various intensities, was applied to fabricate silicon point-contact devices. The drain current (I d) of the device oscillates against gate voltage. The electrical characteristics of the single-electron transistor were observed to be consistent with the expected behavior of electron transport through gated quantum dots, up to 150 K. The dependence of the electrical characteristics on the dot size reveals that the I d oscillation follows from the Coulomb blockade by poly-Si grains in the poly-Si dot. The method of fabrication of this device is completely compatible with complementary metal-oxide-semiconductor technology, raising the possibility of manufacturing large-scale integrated nanoelectronic systems.

Patent
21 Jun 2006
TL;DR: In this paper, a phase shift mask is provided to prevent pattern defect due to proximity effect by reducing optical energy difference between a cell region whose pattern is dense and a Peri region whose patterns is thin.
Abstract: A method for manufacturing a phase shift mask is provided to prevent pattern defect due to proximity effect by reducing optical energy difference between a cell region whose pattern is dense and a Peri region whose pattern is thin. A lower layer(130) and an upper layer(140), and a chrome(170) are sequentially formed on an upper portion of a transparent substrate(100). A first photoresist layer pattern defining a first exposure mask pattern is formed on an upper portion of the chrome. The chrome layer, the upper layer, and the lower layer are etched by using the first photoresist layer pattern as an etching mask to form the first exposure mask pattern comprised of a chrome layer pattern, an upper layer pattern, and a lower layer pattern and to remove the photoresist pattern. A second photoresist layer pattern is formed to expose the upper layer pattern on a Peri region. The exposed upper layer pattern is removed by using the second photoresist layer pattern to form a second exposure mask pattern and to remove the photoresist layer pattern.

Patent
04 Oct 2006
TL;DR: In this paper, a method for forming a pattern for reducing deformation in a pattern due to influences of an optical proximity effect in a manufacturing process of an integrated circuit is presented. But the method is not suitable for the case where a light shielding pattern in a size equal to or smaller than the cutoff frequency of three-beam interference is not regarded as an object for correction.
Abstract: PROBLEM TO BE SOLVED: To provide a method for forming a pattern for reducing deformation in a pattern due to influences of an optical proximity effect in a manufacturing process of an integrated circuit. SOLUTION: The method for forming a pattern includes: a step of creating design data of a circuit pattern (a); a step of dividing the design data into a plurality of data blocks, producing an optical projection image by each data block upon transferring the pattern, predicting a dimension of the pattern transferred onto a wafer based on the projection image, calculating a difference between the predicted pattern dimension and the pattern dimension by the design data, correcting the design data by using the calculated difference as a correction amount and generating corrected data by optical proximity effect correction occurring upon transferring the pattern onto a wafer (b); forming a mask pattern based on the corrected data (c); transferring the mask pattern onto a wafer by exposing through the mask pattern (d); and processing the wafer along the transferred mask pattern (e). When a modified illumination method is employed in an exposure apparatus, a light shielding pattern in a size equal to or smaller than a period corresponding to the cutoff frequency of three-beam interference is not regarded as an object for correction. COPYRIGHT: (C)2007,JPO&INPIT

Journal ArticleDOI
TL;DR: In this article, the chemical interaction between the resist and the TC was examined by employing time-of-flight mass spectrometry with gradient shaving preparation (GSP + TOF) and FT-IR measurements.
Abstract: This paper is intended as investigations of two interesting characteristics of ArF immersion lithography using topcoat (TC). On the one hand, we identified several hundreds gel-type defects over large areas of the unexposed top surface of the resist in cases where a TC was used. On the other hand, we observed that the optical proximity effect (OPE) profiles in immersion lithography varied with the type of TC materials beyond expectation. The magnitude of OPE was reduced to approximately 20 nm in the region of maximum influence. We investigated the influences on these characteristics of the TC material and the methods of application (i.e. baking conditions and exposure). The chemical interaction (e.g., mixing) between the resist and the TC was examined by employing time-of-flight mass spectrometry with gradient shaving preparation (GSP + TOF) and FT-IR measurements and by focusing on the interface of the resist and TC. We confirmed consistent correlations between lithographic properties and chemical interaction. The TC material and process which form much mixing layer due to the chemical interaction tended to cause gel-type defect and variation of OPE profile.

Patent
21 Aug 2006
TL;DR: In this article, the electron beam is exposed by a filtering result to find an edge periphery of the formed pattern at the time of inspecting the pattern, and then the pattern is inspected at the end of the inspection.
Abstract: PROBLEM TO BE SOLVED: To perform exposure of high contrast with small amount of electron beam irradiation, accurately form a pattern on a wafer, and perform a highly accurate inspection. SOLUTION: Proximity effect correcting processing is performed at the time of forming the pattern, and the electron beam is exposed by a filtering result having reverse characteristics of the exposure characteristics of the electron beam. In addition, the electron beam is exposed by a filtering result to find an edge periphery of the formed pattern at the time of inspecting the pattern. COPYRIGHT: (C)2007,JPO&INPIT

Patent
14 Sep 2006
TL;DR: In this article, a method and an apparatus for pattern data lithography of an electron beam lithographic device capable of optimally correcting proximity effects and irradiation volume within a dry plate for correct lithography is presented.
Abstract: PROBLEM TO BE SOLVED: To provide a method and an apparatus for pattern data lithography of an electron beam lithographic device capable of optimally correcting proximity effects and irradiation volume within a dry plate for correct lithography. SOLUTION: The method and apparatus for pattern data lithography of the electron beam lithographic device comprises normal lithographic hardware 10 for normal lithography, and a hardware data transfer 20 for correcting the proximity effects. Accumulated energy conversion table memories 27 and 27' are provided by the number of lithographic fields in the proximity effect correcting hardware. The corrected amount of the proximity effect correction by means of the table memories 27 and 27' is applied to a proximity effect correction map in the normal lithographic hardware, and shot time is adjusted for beam lithography. COPYRIGHT: (C)2006,JPO&NCIPI

Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, the effect of illumination, lens aberration, and resist diffusion to the CD and process window at the above described intermediate pitch range was investigated in theory and experiment, and it was shown that longer diffusion correlates to a deeper "dip".
Abstract: Optical proximity correction has been widely used to correct line width variation in various different environments. The most important correction will be the CD through-pitch variation. For deep-UV (DUV) photo processes, it is observed that the CD will have a reduced trend at certain intermediate pitch range around 1.1 to 1.4 wavelength / NA (numerical aperture), also called "forbidden pitch". The process windows within this pitch range are small. In this case, even though we can use OPC to print the CD correctly, the process window can still be limited, which can generate a bottleneck for the entire process. In order to make OPC more effective, we find it necessary to be able to design an optimized process with enough process windows for all pitches. Although this may mean that we need to map out the entire parameter space spanned by relatively unknown parameters in resist, exposure tool quality, mask tolerance, etc, recent developments in the understanding of the effect of illumination selection, scanner lens aberration, and resist blur have provided us with new hints in realizing it. Such new developments include the optimization of off-axis illumination (OAI) condition, the characterization of the effect of lens aberration, and the selection of resists with appropriate effective acid diffusion length. We have studied the effect of illumination, lens aberration, and resist diffusion to the CD and process window at the above described intermediate pitch range both in theory and experiment. We have found that the effective resist diffusion, whose range is from 10nm to 50nm, can affect the process window at the intermediate pitch range, to as much as a few tens of nanometers. We will show that, in general, longer diffusion correlates to a deeper "dip". However, according to the experience in the use of photo resists, short diffusion length can also affect process window through the reduction of depth of focus. Therefore it is important to find an optimized resist diffusion length under various ground-rule and illumination conditions. But there has been no studies reported so far as to how much diffusion that can be tolerated for a given process at the intermediate pitch range. We have also performed experiments on the effect of the scanner lens aberration, we found that the lens aberration, which may be largely ignored in the past, may affect the process performance, causing mask error factor to rise significantly. In this paper, we will present the result of our experiments and theoretical investigations in how much resist diffusion and lens wave front error that can be tolerated for a given photolithographic process with certain CD tolerance. Insight will be provided for the choice of illumination conditions, resolution enhancement techniques, and the resist in realizing the best CD through-pitch performance under any given ground-rule condition.

Proceedings ArticleDOI
04 May 2006
TL;DR: In this article, the authors investigated the feasibility of individual mask-pattern corrections to compensate for the effects of off-axis incidence and optical proximity effects for a reflective mask in EUV lithography.
Abstract: This study investigated the feasibility of individual mask-pattern corrections to compensate for the effects of off-axis incidence and optical proximity effects for a reflective mask in EUV lithography. Individual mask pattern corrections for the effects of off-axis incidence are made by biasing, and then merged with conventional optical proximity effect corrections (OPC). This method provides good pattern fidelity in printed images on a wafer. Three evaluation functions were used to determine the amount of bias; they are related to the energy of the light reflected from a mask surface, the energy of 0th-order diffracted light, and the energy of light passing through the pupil. Merging to obtain the final corrected mask pattern allows the use of conventional OPC algorithms and is a simple method that is applicable regardless of the relationship between the direction of the incident light and the orientation of the edges of mask patterns.

Proceedings ArticleDOI
21 Mar 2006
TL;DR: In this article, the optical proximity effect (OPE) in the 90 nm isolated space pattern was evaluated and it was shown that the OPE is defined as the difference in the space width between a dense space and an isolated space.
Abstract: 193 nm lithography is one of the most promising technologies for next-generation lithography and is being actively evaluated for making it practicable (1,2) . First, we evaluated an immersion lithography tool (engineering evaluation tool (EET)) (3) and a dry lithography tool (S307E) with the same numerical aperture (NA = 0.85), manufactured by Nikon Corporation. As a result, an increase in the depth of focus (DOF) of the EET to 200 nm in comparison with the DOF (110 nm) of the dry exposure tool was confirmed in a 90 nm isolated space pattern. Next, the optical proximity effect (OPE) in this pattern was evaluated. Generally, when an immersion lithography tool is compared with a dry one with the same NA or both the tools, only an increase in the DOF is found. However, we confirmed that the OPE (The OPE of the 90 nm isolated space pattern is defined as the difference in the space width between a dense space and an isolated space.) of the dry exposure tool for the 90 nm isolated space pattern reduced from 33.1 nm to 14.1 nm by immersion lithography. As the effect of the reduction of 19 nm, the OPE reduced to 15.2 nm by the effect of the top coatings (TCs) and to 3.8 nm by the optical characteristics. An impact of about 5 nm on the OPE was confirmed by the process parameters-film thickness and the pre-bake temperature of the TC. In the case that the solvent was replaced with a high boiling point solvent, the impact changed from 5 to 20 nm further, the replacement of the solvent had a considerable impact on the OPE. However, this influence differs considerably according to the kind of resists; further, it was shown that the addition of acid materials and a change in the polymer base resulted in a high impact on the OPE for a certain resist. Thus, it was demonstrated that the selection of TC is very important for the OPE in immersion lithography.

Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this paper, the influence of different scanning electron microscopes (SEM) and their wafer metrology signal analysis algorithms on the accuracy of the resist model is evaluated, and a weighting method and a measured CD tolerance are proposed to handle the different CD variations of the various edge points of the wafer resist pattern.
Abstract: A procedure for calibrating a resist model iteratively adjusts appropriate parameters until the simulations of the model match the experimental data. The tunable parameters may include the shape of the illuminator, the geometry and transmittance/phase of the mask, light source and scanner-related parameters that affect imaging quality, resist process control and most importantly the physical/chemical factors in the resist model. The resist model can be accurately calibrated by measuring critical dimensions (CD) of a focus-exposure matrix (FEM) and the technique has been demonstrated to be very successful in predicting lithographic performance. However, resist model calibration is more challenging in the low k1 (<0.3) regime because numerous uncertainties, such as mask and resist CD metrology errors, are becoming too large to be ignored. This study demonstrates a resist model calibration procedure for a 0.29 k1 process using a 6% halftone mask containing 2D brickwall patterns. The influence of different scanning electron microscopes (SEM) and their wafer metrology signal analysis algorithms on the accuracy of the resist model is evaluated. As an example of the metrology issue of the resist pattern, the treatment of a sidewall angle is demonstrated for the resist line ends where the contrast is relatively low. Additionally, the mask optical proximity correction (OPC) and corner rounding are considered in the calibration procedure that is based on captured SEM images. Accordingly, the average root-mean-square (RMS) error, which is the difference between simulated and experimental CDs, can be improved by considering the metrological issues. Moreover, a weighting method and a measured CD tolerance are proposed to handle the different CD variations of the various edge points of the wafer resist pattern. After the weighting method is implemented and the CD selection criteria applied, the RMS error can be further suppressed. Therefore, the resist CD and process window can be confidently evaluated using the accurately calibrated resist model. One of the examples simulates the sensitivity of the mask pattern error, which is helpful to specify the mask CD control.

Patent
19 Jan 2006
TL;DR: In this paper, a method for reducing the fogging effect in an electron beam lithography system, exposure is controlled in order to obtain a pattern matched to design data after processing, and an optimal set of parameters is obtained to get a common control function for proximity effects and fogging effects.
Abstract: PROBLEM TO BE SOLVED: To provide a method for correcting reliability of the irradiation parameters in an electron beam lithography system by taking the impact of fogging effect into account. SOLUTION: In the method for reducing the fogging effect in an electron beam lithography system, exposure is controlled in order to obtain a pattern matched to design data after processing. A model for fogging effect is fitted by varying at least the basic input parameters of a control function. The function type is selected depending on a kernel type being used in a proximity correction unit. Proximity effect is also taken into account, and an optimal set of parameters is obtained in order to get a common control function for proximity effect and fogging effect. Pattern writing by the electron beam lithography system is controlled by single proximity effect control function and fogging effect control function coupled in one data processing step using the same algorithm as that being executed in a standard proximity correction unit. COPYRIGHT: (C)2006,JPO&NCIPI

Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, the authors evaluate whether ArF lithography process has enough process margin or not, when KrF HT-PSM is applied to Arf lithography processes, and they simulated the change of the proximity effect according to illumination conditions and selected an optimum illumination condition.
Abstract: To print the 0.13μm logic device pattern, both KrF and ArF lithography can be used and we have two lithography processes for 0.13μm technology. In this paper, we evaluate whether ArF lithography process has enough process margin or not, when KrF HT-PSM is applied to ArF lithography processes. To estimate the feasibility of KrF HT-PSM in ArF lithography process, we simulated the change of the proximity effect according to illumination conditions and selected an optimum illumination condition. In that condition, we investigated the changes of ID bias, linearity and lineend shortening effect (LES) of minimum pattern. ID bias and CD linearity of isolated line in the ArF lithography matched well with those in KrF lithography on the optimized illumination condition. The differences of ID bias and linearity are less than 5nm. Line end CD difference between two processes is under 10nm. The ArF lithography process has enough process margins in optimized illumination condition with KrF Ht-PSM. Therefore, in the optimized illumination condition, KrF Ht-PSM can be applied to ArF lithography process to print pattern for the 0.13 μm logic device without mask revision.

Journal Article
Wei Qiang1
TL;DR: In this article, a double Gaussian fitting of energy distribution was carried out by the method of nonlinear least squares curve fitting, which elicited the parameters(α,β and η).
Abstract: In order to determine the proximity effect parameters more accurately,the process of the electron beam scattering in solid was simulated with the optimized model of electron beam scattering and modified Monte Carlo algorithm.It was obtained that the distribution of the energy deposition of different exposure in resist.The double Gaussian fitting of energy distribution was carried out by the method of nonlinear least-squares curve fitting,which elicited the parameters(α,β and η).The comparison between the fitting parameters and the experiment results shows that the method of nonlinear least-squares curve fitting can be used to determine the parameters of proximity effect.The parameters fitting for different exposure shows the change trend of α,β and η.The increment of electron beam energy leads to the decrease of α and increase of β,while η is almost constant.The increase of resist thickness results in the increment of α,while β and η are almost invariable.The increase of substrate's atom number causes decrease of β and increment of η,while α is unchangeable.The results can not only provide theoretical guide for optimizing the exposure conditions and reducing the proximity effect in electron beam lithography,but also can quickly provide more accurate parameters for proximity effect correction.

Patent
Sang Jun Han1, Gil Myung Goon1
28 Dec 2006
TL;DR: In this article, an exposure mask of a semiconductor device comprises a substrate and a substrate recesses are etched on a surface of the substrate to change a refractive index of an incident light, which causes a diffraction angle of the incident light to increase or decrease.
Abstract: An exposure mask of a semiconductor device comprises a substrate Recesses are etched on a surface of the substrate to change a refractive index of an incident light The changed refractive index causes a diffraction angle of the incident light to increase or decrease As a result of the change in the diffraction angle, ultra fine patterns for highly integrated semiconductor devices may be formed without being adversely impacted by a proximity effect

Journal ArticleDOI
TL;DR: In this paper, the influence on proximity effect of the shape and energy of electron beam and the material and depth of substrate is analyzed, and the simulation results are compared with the experimental data and are found to fit well.
Abstract: Electron beam lithography has high sensitivity since it is free from limitation from diffraction effect. It will be the mostcommon technique of the next generation lithography to replace the conventional optical lithography. The proximity effect is the most important limitation of the sensitivity of lithography,which is simulated with Monte Carlo method in this paper.The influence on proximity effect of the shape and energy of electron beam and the material and depth of substrate is analyzed. The simulation results are compared with the experimental data and are found to fit well. It is found that, the proximity effect shown by Gaussian shaped electron beam is much larger than that by ideal electron beam, and lager atomic number, thicker substrate and lower energy of the electron beam will cause lager proximity effect independently.