scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 2008"


Journal ArticleDOI
TL;DR: In this paper, the proximity effect in 30 keV electron beam (EB) drawing with calixarene resist for patterned media and quantum devices has been studied by forming and observing 20-, 25-, 30-, and 40-nmpitch resist dot arrays and measuring exposure dosage intensity distribution (EID) function.
Abstract: We studied proximity effect in 30 keV electron beam (EB) drawing with calixarene resist for patterned media and quantum devices. Using about 15-nm-thick calixarene resist on Si substrate in conventional EB drawing system, the proximity effect has been studied by forming and observing 20-, 25-, 30-, and 40-nm-pitch resist dot arrays and measuring exposure dosage intensity distribution (EID) function. As a result, the proximity effect is negligible small due to comparing with some dot sizes in center, side and corner of 2 µm square with 25×25 nm2 pitch dot arrays. In addition, the proximity effect parameter η in EID function is less than 0.3. It is clear that the EB drawing and calixarene resist system is very suitable for forming ultrahigh packed dot arrays pattern. We demonstrated 20×20 nm2 pitch resist dot arrays (about 1.6 Tb/in.2) with a dot diameter of about 14 nm and the same size as everywhere in the pattern.

22 citations


Proceedings ArticleDOI
TL;DR: The flow for generating mask process models that describe both shortrange and long-range mask process effects, including proximity loading effects from etching, pattern density loading effects, and across-mask process non-uniformity are presented.
Abstract: As tolerance requirements for the lithography process continue to shrink with each new technology node, the contributions of all process sequence steps to the critical dimension error budgets are being closely examined, including wafer exposure, resist processing, pattern etch, as well as the photomask process employed during the wafer exposure. Along with efforts to improve the mask manufacturing processes, the elimination of residual mask errors via pattern correction has gained renewed attention. The portfolio of correction tools for mask process effects is derived from well established techniques commonly used in optical proximity correction and in electron beam proximity effect compensation. The process component that is not well captured in the correction methods deployed in mask manufacturing today is etch. A mask process model to describe the process behavior and to capture the physical effects leading to deviation of the critical dimension from the target value represents the key component of model-based correction and verification. This paper presents the flow for generating mask process models that describe both shortrange and long-range mask process effects, including proximity loading effects from etching, pattern density loading effects, and across-mask process non-uniformity. The flow is illustrated with measurement data from real test masks. Application of models for both mask process correction and verification is discussed.

13 citations


Journal ArticleDOI
TL;DR: In this paper, a line-and-space pattern nanoimprint mold with a sub-50nm spacing was fabricated at 4kV using electron beam lithography with spin on glass (SOG), which is used as a positive-tone inorganic resist.
Abstract: Nanoimprint lithography (NIL) is the preferred technique for next-generation nanometer-scale patterning because of its cost effectiveness and simplicity when compared to conventional technology. The replicated pattern depends on the mold pattern, hence efficient methods for fabricating the fine mold are critically required and have recently become intensive subjects of research. This study reports a new method for the fabrication of a fine mold: this method uses low-acceleration-voltage (4kV) electron beam lithography with spin on glass (SOG), which is used as a positive-tone inorganic resist. Although our SOG process does not contain chemically amplified material, postexposure bake (PEB) was characteristically used in the authors’ process. The PEB process caused the annealing effect for the SOG and also suppressed the proximity effect. Consequently, a line-and-space pattern nanoimprint mold with a sub-50nm spacing was fabricated at 4kV using their process, and ultraviolet NIL was successfully carried out...

12 citations


Journal ArticleDOI
TL;DR: In this paper, a 3D nanostructure in poly(methyl methacrylate) (PMMA) was constructed using electron-beam (EB) writing and development, which demonstrates the great structural flexibility obtainable in EB technique.
Abstract: Nanofabrication of three-dimensional (3D) structures with a high aspect ratio has been achieved using electron-beam (EB) lithography Although electron scattering, or the proximity effect, on the remaining parts in positive resist is generally serious for repeated EB writing from different 3D directions, we can largely avoid the effect by adding appropriate surrounding buffer regions, which are cut off by the following EB writing and development This enables us to make a 3D nanostructure in poly(methyl methacrylate) (PMMA), which demonstrates the great structural flexibility obtainable in our EB technique

11 citations


Proceedings ArticleDOI
TL;DR: In this paper, the impact of the beam size on the patterning fidelity of a raster-scan multiple e-beam direct write (MEBDW) system was investigated.
Abstract: The Multiple E-beam Direct Write (MEBDW) technology has been considered a promising solution for the next generation lithography to delineate 32-nm half-pitch and beyond. A low-energy, say 5 keV, e-beam direct writing system has advantages in lower exposure dosage, less heating effect on resist, and less damage to devices underneath, comparing with a high energy one, such as 50 keV or 100 keV. However, the low-energy electron-beam is easily blurred due to forward scattering in the substrate due to its shallow penetration and hence loses resolution. In this paper, variables affecting patterning fidelity of a raster-scan MEBDW system are investigated. In order to realize a MEBDW system with acceptable throughput, a relatively large beam size is chosen for sufficient beam current to sustain throughput while maintaining enough resolution. The imaging resolution loss and the proximity effect, due to beam blurring through the resist, have been observed. The in-house software MOSES, incorporating the Monte Carlo simulation and the Double Gaussian model was used to evaluate 1-D and 2-D pattern fidelity with various exposure conditions. The line width roughness, which represents 1-D fidelity, was evaluated on 32-nm dense lines. Pattern fidelity of 2-D features such as the zigzag poly line and dense metal patterns was also examined. The impact to LWR of using the edge dithering method, instead of dosage modulation, to control the line width accuracy beyond the pixel size was studied.

8 citations


Patent
01 Apr 2008
TL;DR: In this paper, an electron beam drawing system is proposed to diagnose the presence or absence of abnormality, such as a correction calculation error in proximity effect, and locate an abnormal place easily.
Abstract: PROBLEM TO BE SOLVED: To diagnose the presence or absence of abnormality, such as a correction calculation error in proximity effect, and to locate an abnormal place easily. SOLUTION: An electron beam drawing system 60 comprises: an electron beam drawing means 2 for drawing a desired graphic pattern by irradiating the surface of a substrate with electron beams; a means 40 for computing a correction dosage for calculating a correction dosage for each small region in a drawing region, where the influence of the proximity effect is considered and the dosage of electron beams corresponding to the drawing graphic pattern is corrected; a control means 37 for calculating the amount of energy stored in the substrate when the electron beams having the corrected dosage are applied, calculating an error by comparing the amount of energy with a prescribed theoretical value, and determining precision in the operation of the means 40 for computing the correction dosage; a display means 67; and a data verification support means 61 for allowing the display means 67 to display information, where the corrected dosage is layered visibly, for each small region. COPYRIGHT: (C)2008,JPO&INPIT

6 citations


Proceedings Article
07 Oct 2008
TL;DR: In this article, the authors present a numerical algorithm to calculate the nominal dose needed to be applied in each point of the geometry that results in an optimal net dose for an efficient pattern transfer.
Abstract: e-beam lithography is a technique capable of fabricate sub-micrometer planar structures. The ultimate resolution in this technique is limited mainly by the proximity effect where the dose accumulated in one spacial point is affected by the irradiated dose in its neighborhood. The relevance of this effect in one particular pattern strongly depends on its geometry, the sensitivity of the resist and the physical characteristics of the substrate. In this work we present a numerical algorithm to calculate the nominal dose needed to be applied in each point of the geometry that results in an optimal net dose for an efficient pattern transfer.

5 citations


Patent
03 Jul 2008
TL;DR: In this article, a method of correcting a mask pattern is proposed to correct the mask pattern with high accuracy so that the wiring pattern having the desired dimensions is formed on the substrate, thereby dealing with the etching proximity effect.
Abstract: A method of correcting a mask pattern, the method correcting the mask pattern of a mask such that a wiring pattern having desired dimensions is formed based on a micro-fabrication process using the mask, corrects the mask pattern so that, before carrying out the micro-fabrication process, an etching proximity effect is dealt with by use of the correction model in which a pattern size and a inter-patter space size are set as parameters. This makes it possible to correct the mask pattern with high accuracy so that the wiring pattern having the desired dimensions is formed on the substrate, thereby dealing with the etching proximity effect.

5 citations


Journal ArticleDOI
TL;DR: The investigation showed that these new commercially available resists have high resolution and high contrast with non-chemical amplification, useful for micro-fabrication application.

5 citations


Journal ArticleDOI
01 Mar 2008
TL;DR: In this article, a 3D line-and-space (L&S) pattern was fabricated by means of low-acceleration voltage electron-beam (EB) lithography using an optimized EB dose and an inorganic resist.
Abstract: Nanoimprint lithography (NIL) is a promising method for fabricating nanoscale patterns, because of its low cost and process simplicity There is a growing demand for three-dimensional (3D) nanoimprint molds for various optical devices and dual-damascene processes We examined the fabrication of a 3D line-and-space (L&S) pattern as a nanoimprint mold by low-acceleration-voltage (<5 kV) electron-beam (EB) lithography Normally, it is difficult to obtain a fine L&S pattern by using a low acceleration voltage because of the large proximity effect caused by forward scattering of electrons We therefore used an inorganic resist, which has higher contrast than an organic resist because of the smaller size of its molecules As a result, a fine L&S patterned 3D nanoimprint mold with a line-width of less than 100 nm was fabricated by means of low-acceleration voltage EB lithography using an optimized EB dose and an optimized L&S pattern with an inorganic resist

5 citations


Patent
25 Dec 2008
TL;DR: In this article, the authors proposed an optical proximity correction method to reduce the calculation time for proximity correction and to improve the accuracy of the corrected pattern by setting either a circumscribed rectangle of a pattern or a layout resulting from resizing the pattern to be corrected by a distance over which the optical proximity effect reaches, as a pattern matching zone.
Abstract: PROBLEM TO BE SOLVED: To reduce the calculation time for proximity correction and to improve pattern accuracy. SOLUTION: The optical proximity correction method includes: setting either a circumscribed rectangle of a pattern to be corrected or a layout resulting from resizing the pattern to be corrected by a distance over which the optical proximity effect reaches, as a pattern matching zone; referring to a correction table by using the layout of the set pattern matching zone as an index; calculating a corrected pattern of the pattern to be corrected by performing optical proximity correction on the entire pattern matching zone when the layout of the pattern matching zone is not stored in the correction table; when the layout of the pattern matching zone and a pattern after optical proximity correction corresponding to the layout of the stored pattern matching zone are stored in the correction table, reading the corresponding corrected pattern; and correcting a design pattern in accordance with either the obtained corrected pattern or the corrected pattern read from the correction table. COPYRIGHT: (C)2009,JPO&INPIT

Journal ArticleDOI
TL;DR: In this article, the effect of high-dose electron exposure of silicon substrates and subsequent dry development by reactive ion etching was investigated, and it was demonstrated that the patterning is likely to be caused by structural changes of the silicon substrate, resulting in different etch rates in exposed and unexposed areas.
Abstract: Nowadays, features with sizes smaller than 10 nm can be obtained with electron beam lithography. For such small structures, high exposure doses are required to stay away from the shot noise limit. We investigated the effect of high-dose electron exposure of silicon substrates and subsequent dry development by reactive ion etching. We found that silicon can be directly patterned at electron doses ranging from 0.05 to 3.06 C/cm2. The effect of backscattered electrons is seen as a halo around the patterns. In the given dose range, a gradual transition from positive tone low-dose to negative tone high-dose behavior is observed. It is demonstrated that the patterning is likely to be caused by structural changes of the silicon substrate, resulting in different etch rates in exposed and unexposed areas. X-ray photoelectron spectroscopy analysis has been applied to determine if the thickness of the native oxide in the irradiated areas is different from the thickness at a reference position not irradiated. Small but significant differences have been observed, the largest increase being 0.3 nm.

Proceedings ArticleDOI
19 May 2008
TL;DR: In this article, the authors present a method for producing a model and OPC flow and show the difference in results when the two models are used, showing that the mask proximity effect from fine ebeam writing is not negligible.
Abstract: Although the mask pattern created by fine ebeam writing is four times larger than the wafer pattern, the mask proximity effect from ebeam scattering and etch is not negligible. This mask proximity effect causes mask-CD errors and consequently wafer-CD errors after the lithographic process. It is therefore necessary to include the mask proximity effect in optical proximity correction (OPC). Without this, an OPC model can not predict the entire lithography process correctly even using advanced optical and resist models. In order to compensate for the mask proximity effect within OPC a special model is required along with changes to the OPC flow. This article presents a method for producing such a model and OPC flow and shows the difference in results when they are used.

Journal ArticleDOI
TL;DR: In this paper, a simple insertion of a thin SiO2 film greatly reduced proximity effects, thereby providing enhanced resolution and better pattern fidelity, and the bottom line width and sidewall slope of the developed pattern were analyzed for each substrate with different film thickness.
Abstract: We present a simple strategy to reduce the writing time of electron beam lithography (EBL) by using a highly sensitive Shipley’s UV-5 resist while reducing proximity effects by depositing a thin film of silicon dioxide (SiO2) on silicon substrate. It was found that a simple insertion of a thin SiO2 film greatly reduced proximity effects, thereby providing enhanced resolution and better pattern fidelity. To support this conclusion, the bottom line width and sidewall slope of the developed pattern were analyzed for each substrate with different film thickness.

Patent
16 Apr 2008
TL;DR: In this article, an energy irradiation pattern of an electron beam and an energy threshold determination pattern are generated from design pattern data, and the difference between edges of the resist development threshold energy level line and the determination pattern is calculated when the difference is larger than a predetermined determination value.
Abstract: PROBLEM TO BE SOLVED: To provide: a generation method of pattern data for electron beam drawing, which can draw an excellent minute pattern without using a trial-and-error method by test drawing, in drawing a minute pattern using an electron beam drawing device; a proximity effect correction method used for it; and a pattern formation method using the data. SOLUTION: The generation method of pattern data for electron beam drawing is characterized in that: an energy irradiation pattern of an electron beam and an energy threshold determination pattern are generated from design pattern data; a correction value of a proximity effect is allocated to the energy irradiation pattern; a stored energy distribution when drawn by the energy irradiation pattern is calculated; a resist development threshold energy level line is extracted from the stored energy distribution based on previously-obtained resist development threshold energy; the difference between edges of the resist development threshold energy level line and the energy threshold determination pattern is calculated; and the energy irradiation pattern is output as pattern data for electron beam drawing when the difference is not larger than a predetermined determination value. COPYRIGHT: (C)2010,JPO&INPIT

03 Sep 2008
TL;DR: In this paper, the proximity effect is extended to the fabrication of metal nanogaps of sub-20nm in feature size using electron beam lithography (EBL), and a flexible and practical method for preparing metal (e.g., Au or Ag) nanogap and arrays in combination with a transfer process is presented.
Abstract: We describe the fabrication of metal nanogaps of sub-20nm in feature size using the proximity effect in electron beam lithography (EBL).The proximity effect is extended to develop a flexible and practical method for preparing metal (e.g.Au or Ag) nanogaps and arrays in combination with a transfer process (e.g.,deposition/lift-off).Different from the direct gap-writing process,the nanogap precursor structures (nanoconnections) were designed by GDSII software and then written by electron beam.Following a deposition and lift-off process,the metal nanogaps were obtained and the nanogap size can be lowered to ~10nm by controlling the exposure dose in EBL.

Proceedings ArticleDOI
Jianliang Li1, Chunqing Wang1, Aram Kazarian1, Qiliang Yan1, Lawrence S. Melvin1 
TL;DR: A novel method is proposed to determine the resist bias from the iso-focal structure, the critical dimension (CD) of which was measured under different defocus conditions and indicates that a constant CD bias can catch most of resist effect at the first order of approximation.
Abstract: In modern photolithography as the feature sizes reduce, the simulation of manufacturing process calls on more and more accurate grasp of various effects in the process. While the optical simulation is calculated precisely by both firstprinciple simulators and optical proximity correction (OPC) model simulator, an accurate and computational inexpensive resist model has yet to be developed. After the exposure, resist parameters change the resist part of the proximity effects by either moving the "optical image" or responding differently to varying image qualities. By inspecting the wafer data, one can only see the results after development, which is the mixture of optical and resist effects. To isolate the effect contributed by resist, it is necessary to separate the optical component and resist component. In this paper, a novel method is proposed to determine the resist bias from the iso-focal structure, the critical dimension (CD) of which was measured under different defocus conditions. The results extracted from experiments indicate that a constant CD bias can catch most of resist effect at the first order of approximation.

Patent
03 Jul 2008
TL;DR: In this paper, a method for correcting an optical proximity effect is provided to improve the prediction with respect to a wafer patterning result by using a reticle model to predict the optical proximity effects.
Abstract: A method for correcting an optical proximity effect is provided to improve the prediction with respect to a wafer patterning result by using a reticle model to predict the optical proximity effect. A layout of a duty test pattern is designed(S100). A reticle is manufactured by using the layout of the duty test pattern(S110). A critical coefficient of the duty test pattern formed on the reticle is measured(S120). A critical coefficient of the layout pattern is compared with the critical coefficient of the duty test pattern formed on the reticle to determine a reticle model(S130). The duty test pattern is exposed on a wafer(S150). The critical coefficient of the duty test pattern exposed on the wafer is measured(S160). The critical coefficient of the duty test pattern exposed on the wafer is compared with the critical coefficient of the duty test pattern formed on the reticle to determine a wafer model(S170). A product reticle is manufactured by applying the reticle model and the wafer model(S190).

Proceedings ArticleDOI
21 May 2008
TL;DR: In this article, the effect of dose exposure in electron beam lithography (EBL) and proximity effect is investigated. And the image for several dose exposure is also shown in the image.
Abstract: Electron beam lithography is one of the most promising of nanolithographic techniques The setup is similar to a Scanning Electron Microscope (SEM) and often a scanning electron microscope is used EBL is able to provide high resolution patterning However, the effect of electron scattering in resist and substrate leads to an undesired influence in the regions adjacent to those exposed by the electron beam This effect is called the proximity effect In this paper, we investigated the effect of dose exposure in Electron beam lithography (EBL) and proximity effect We also show the image for several of dose exposure

Proceedings ArticleDOI
Qiaolin Zhang1, Kevin Lucas1
19 May 2008
TL;DR: A hybrid resist model is proposed, which is a superposition of a traditional OPC chemical amplified resist model and a first order resist bias model that is incorporated into the continuous process window (PW) modeling module, and very good model calibration performance was achieved.
Abstract: As the semiconductor industry enters the 45nm node and beyond, the tolerable lithography process window significantly shrinks due to the decreasing k 1 factor and increasing lens NA required to meet product shrink goals. The usable depth of focus at the 45nm node for critical layer is less than 200nm and for the 32nm node it will approach 100nm. Consequently, process window aware Optical Proximity Correction (OPC) and Lithography Rule Check (LRC) become crucial to ensure the robustness of OPC to focus and dose variation. An accurately calibrated continuous process window model is the corner stone for successful process variation aware OPC and LRC. For ease of use, this calibrated model should be a continuous function of defocus and dose and able to interpolate and extrapolate in the usable process window. Lithographic proximity effects have an optical component and a resist component. As state of the art OPC simulation tool is capable of precise and fast optical simulation, however its treatment of chemical amplified resist effects is relatively crude and does not capture the complex behavior during acid & quencher reaction, diffusion and development. This in turn causes difficulties for a continuous process window model where the resist component plays an important role. We proposed a hybrid resist model, which is a superposition of a traditional OPC chemical amplified resist model and a first order resist bias model. Using Synopsys' OPC modeling software package-ProGen, we incorporated this hybrid resist model into the continuous process window (PW) modeling module, and very good model calibration performance was achieved.

Patent
03 Jan 2008
TL;DR: In this article, a method for fabricating a mask of a semiconductor device is provided to optimize fogging effect and avoid proximity effect by calculating an optimum dosage according to a pattern density and by additionally forming a dummy pattern according to the calculated optimum dosage and the pattern density to fabricate a mask.
Abstract: A method for fabricating a mask of a semiconductor device is provided to optimize fogging effect and avoid proximity effect by calculating an optimum dosage according to a pattern density and by additionally forming a dummy pattern according to the calculated optimum dosage and the pattern density to fabricate a mask. An electron beam is irradiated to a mask coated with electron beam resist to form a mask pattern. An optimum dosage is calculated with respect to the mask pattern through a curve considering fogging effect according to the pattern density and a curve of an energy transfer function. An exposure process using the electron beam is performed again according to the pattern density and the calculated optimum dosage to form a dummy pattern(400A) in the main pattern. A development process and a baking process are performed on the mask pattern with the dummy pattern.

Patent
17 Jul 2008
TL;DR: In this paper, a pattern measurement method to correct a pattern formed on a photomask, a dimension controlling pattern 10 that includes a pattern region 11 having the pattern 13 as an object of measurement and an occupancy rate varied region 12 comprising a pattern with a varied occupancy rate around the above pattern region, is simultaneously formed in the polygonal mask.
Abstract: PROBLEM TO BE SOLVED: To provide a pattern measurement method to obtain a pattern having less errors by inserting a pattern which corrects a proximity effect of a photomask into a region except for monitor or circuit patterns conventionally designated by users upon ensuring dimensions of a photomask, and by inspecting the pattern and controlling drawing conditions after drawing. SOLUTION: In the pattern measurement method to correct a pattern formed on a photomask, a dimension controlling pattern 10 that includes a pattern region 11 having the pattern 13 as an object of measurement and an occupancy rate varied region 12 comprising a pattern with a varied occupancy rate around the above pattern region, is simultaneously formed in the photomask; and the dimension of the pattern 13 as the measurement object of the photomask is measured so as to evaluate whether the photomask can be used. In particular, the pattern dimension is evaluated by using two or more kinds of patterns to suppress variance in the proximity effect in an electron beam (EB) drawing device while checking the size of the proximity effect. COPYRIGHT: (C)2008,JPO&INPIT

Proceedings ArticleDOI
01 Dec 2008
TL;DR: In this paper, the influence of developer and proximity effect on morphology of edge roughness in lithographically graded exposures using PMMA resist and atomic force microscopy (AFM) technique is investigated.
Abstract: The edge roughness of lithographically defined resist features is an important aspect of micro fabrication of semiconductor devices. Previous work has demonstrated origin of line edge roughness due to polymer phase separation during the development step; influence of edge gradient on the morphology of edge roughness; effect of development technique and developer on surface roughness of large area features and also the correlation of surface roughness with edge roughness in poly(methylmethacrylate) PMMA. In this work the influence of developer and proximity effect on morphology of edge roughness in lithographically graded exposures using PMMA resist and atomic force microscopy (AFM) technique is investigated. Since for very steep edges, the AFM technique is unable to image the resist roughness close to the substrate due to shadowing by the higher parts of the resist. Features were defined lithographically by controlling the change in dose at the feature edge, allowing the edge roughness and its corresponding morphology for steep features to be determined by extrapolation from AFM measurements of relatively shallow exposure gradients.

Journal ArticleDOI
01 Mar 2008
TL;DR: In this article, the influence of the oxygen plasma etching on the electron transport in thin graphite films was investigated and it was shown that the critical supercurrent and temperature strongly depend on the gate voltage.
Abstract: We investigated the influence of the oxygen plasma etching on the electron transport in thin graphite films. The semimetallic temperature dependence of zero-bias resistance was observed for samples microfabricated with both Al mask and resist mask, but the possible damage by e-beam irradiation was observed in films with Al mask. In thin graphite films microfabricated by O2 plasma with resist mask, the proximity-induced superconductivity was observed and the critical supercurrent and temperature strongly depend on the gate voltage.