scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 2014"


Proceedings ArticleDOI
TL;DR: In this paper, the authors used a sub-nanometer-sized 30 keV helium ion beam to expose chemically amplified (CAR) EUV resists and showed that SHIBL can be a useful and economically attractive technology to pre-screen novel CAR resists prior to their final performance evaluation in an EUV scanner.
Abstract: For the introduction of EUV lithography, development of high performance EUV resists is of key importance. This development involves studies into resist sensitivity, resolving power and pattern uniformity. We have used a sub-nanometer-sized 30 keV helium ion beam to expose chemically amplified (CAR) EUV resists. There are similarities in the response of resists to He+ ions and EUV photons: both excite Secondary Electrons with similar energy distributions. The weak backscattering of the He+ ions results in ultra-low proximity effects. This fact enables the exposure of dense and detailed patterns by focused He+ ion beams without the need for proximity correction. This paper presents contact holes and lines at 40-nm pitch in an EUV CAR resist. We have used resist sensitivity, contrast, resolution (CD) and pattern fidelity (LCDU, LWR and dose-to-print) as metrics for a comparison of SHIBL with EUVL. We show that Scanning Helium Ion Beam Lithography (SHIBL) can be a useful and economically attractive technology to (pre-)screen novel EUV resists prior to their final performance evaluation in an EUV scanner. © 2014 SPIE.

13 citations


Journal ArticleDOI
TL;DR: In this article, a multivariate adaptive regression spline-based proximity effect correction method is proposed to correct the proximity effect due to the scattering of electrons in the desired 3D structure.
Abstract: Grayscale electron beam lithography is an important technique to manufacture three-dimensional (3D) micro- and nano-structures, such as diffractive optical devices and Fresnel lenses. However, the proximity effect due to the scattering of electrons may cause significant error to the desired 3D structure. Conventional proximity correction methods depend on the exposure energy distribution which sometimes is difficult to obtain. In this study, the authors develop a novel proximity effect correction method based on multivariate adaptive regression splines, which takes exposure energy and development into consideration simultaneously. To evaluate the method, a Fresnel lens was fabricated through simulation and experiment. The measurements demonstrate the feasibility and validity of the method.

8 citations


Journal ArticleDOI
TL;DR: In this paper, molecular dynamics simulation of sub-10 nm pattern formation in electron beam lithography is performed for the poly(methyl methacrylate) (PMMA) resist, where the effect of electron exposure is modeled by the chain scission of the polymer molecule, and small segments of polymer molecules are removed from the resist structure in the development process.
Abstract: Molecular dynamics simulation of sub-10 nm pattern formation in electron beam lithography is performed for the poly(methyl methacrylate) (PMMA) resist. The effect of electron exposure is modeled by the chain scission of the polymer molecule, and the small segments of polymer molecules are removed from the resist structure in the development process. The acceleration voltage dependence, shot noise, and proximity effects are studied with the simulation. Under the conditions wherein the electron scattering and shot noise effects are suppressed, the line edge roughness becomes close to the size of the resist molecule segment. The structure of the resist molecule also affects the proximity effect.

8 citations


Journal ArticleDOI
TL;DR: Proton beam writing (PBW) is a new direct-write technique which has shown great potential to fabricate structures down to 20 nm level in resist material as mentioned in this paper, which is very important when combining PBW with Ni electroplating and nanoimprinting as well as injection molding.
Abstract: Proton beam writing (PBW) is a new direct-write technique which has shown great potential to fabricate structures down to 20 nm level in resist material. Protons can be accelerated up to a high energy (3.5 MeV) at Centre for Ion Beam Applications. Because the mass of a proton is much larger than the mass of an electron (mp:me = 1,800:1), the energy of the secondary electrons is very small compared with secondary electrons generated by electron beam lithography. Therefore, a proton will travel along a straight path into resist and secondary electrons will only expose the resist within several nanometers around the path of the proton. PBW is capable of fabricating structures with very straight, vertical and smooth sidewalls without proximity effect. This is very important when combining PBW with Ni electroplating and nanoimprinting as well as injection molding. High quality Ni molds with smooth and vertical side walls are critical in nanoimprint lithography and injection molding. In our experiments, several new resists including AR-P 3250, a mixture of AR-P 3250 and AR 300-12, and ma-N 2401 are tested with PBW for the production of high aspect ratio Ni molds and thermoplastic replication with these molds. High aspect ratio structures (up to 7) are fabricated at a width of 500 nm in Ni molds. The structures are transferred to plastic via nanoimprinting and injection molding.

7 citations


Journal ArticleDOI
Masato Saito1, Kunihiro Ugajin1, Keisuke Yagawa1, Machiko Suenaga1, Yoshihito Kobayashi1 
TL;DR: In this paper, the performance of conventional mask fabricating process was examined and the impact of proximity effect to the resist performance was examined, showing that resist damage induced by proximity effect degrades the resolution limit about 2nm.
Abstract: To investigate the possibility to catch up the NGL mask pattern size scaling strategy which indicated in ITRS2012, the performance of conventional mask fabricating process was examined. Current EB resist used for mask fabrication doesn’t have enough performance to resolve below hp20nm pattern. With newly developed CAR resist, the resolution limit reached to hp18nm pattern. Furthermore by using higher performance EB writer, the possibility to resolve up to hp16nm pattern was showed. The impact of proximity effect to the resist performance was examined. The resist damage induced by proximity effect degrades the resolution limit about 2nm. This is a serious problem for NGL mask manufacturing. Reducing the impact of proximity effect is one of the major challenges for developing higher resolution EB resist.

7 citations


Patent
21 Mar 2014
TL;DR: In this paper, the authors present a system that includes a unit to operate a first dose of a beam corrected for a proximity effect for each of second mesh regions of a second mesh size obtained by dividing the first mesh size by a product of a natural number and a number of passes, by using a dose model using dose threshold, and a dose that makes a difference between a value obtained by operating the polynomial and the dose threshold within a tolerance is used.
Abstract: A apparatus includes a unit to operate a first dose of a beam corrected for a proximity effect for each of second mesh regions of a second mesh size obtained by dividing the first mesh size by a product of a natural number and a number of passes, by using a dose model using a dose threshold; a unit to operate a representative temperature rising due to heat transfer originating from irradiation of the beam by using a dose for an applicable pass of the first dose and a unit to operate a polynomial having a term obtained by multiplying a dose modulation coefficient based on the representative temperature by a pattern area density as an element, and a dose that makes a difference between a value obtained by operating the polynomial and the dose threshold within a tolerance is used.

4 citations


Journal ArticleDOI
TL;DR: In this article, grayscale electron beam lithography is applied to generate multistep Aztec profiles (MAPs) for angle-resolved spectral applications such as microspectrometers.
Abstract: In this paper, grayscale electron beam lithography is applied to generate multistep Aztec profiles (MAPs) for angle-resolved spectral applications such as microspectrometers. Monte Carlo simulations taking into consideration the proximity effect are carried out to calculate the spatial dose distributions for desired profiles, using actual dissolution rates measured on the same resist. The MAPs in PMMA resist with step heights from 50 to 200 nm and step widths from 0.1 to 5 μm are achieved by high-resolution electron beam lithography, and high-resolution scanning electron microscopy and atomic force microscopy are used to characterize the quality of the MAPs. Angle-resolved spectra of the reflectance are obtained using a finite-difference time-domain simulator and by experimental measurements. A distinct angle selection of the wavelengths is demonstrated, though the high surface roughness measured on the deeper steps may cause broadening of the spectral peaks. Initial investigations into the origin of the surface roughness are carried out, and further improvements are discussed.

4 citations


Patent
12 Feb 2014
TL;DR: In this article, a method for improving the uniformity of a grating was proposed, where trapezoid compensatory figures were arranged around the grating structure by utilizing a layout design tool.
Abstract: The invention discloses a method for improving the uniformity of a grating, and particularly relates to an electron beam exposure method for improving the uniformity of a grating structure. The method comprises the steps that electron beam glue coats a wafer, and the wafer coated with the electron beam glue is placed in a baking oven to be prebaked; trapezoid compensatory figures are arranged around the grating structure by utilizing a layout design tool; electron beam exposure and development are carried out on the wafer to finish the grating structure containing the trapezoid compensatory figures. By utilizing the compensatory figures, the method weakens the influence of the proximity effect in the electron beam exposure, and the uniformity of nanometer-scale grating exposure is increased. Instead of weakening the proximity effect by changing the exposure dose and size of a figure, the proximity effect is weakened by increasing the compensatory figures around the figure in order to improve the grating exposure uniformity.

3 citations


Patent
04 Aug 2014
TL;DR: In this article, a charged particle beam writing apparatus includes an area density calculation unit to calculate a pattern area density weighted using a dose modulation value, which has previously been input from an outside and in which an amount of correction of a dimension variation due to a proximity effect has been included.
Abstract: A charged particle beam writing apparatus includes an area density calculation unit to calculate a pattern area density weighted using a dose modulation value, which has previously been input from an outside and in which an amount of correction of a dimension variation due to a proximity effect has been included, a fogging correction dose coefficient calculation unit to calculate a fogging correction dose coefficient for correcting a dimension variation due to a fogging effect by using the pattern area density weighted using the dose modulation value having been input from the outside, a dose calculation unit to calculates a dose of a charged particle beam by using the fogging correction dose coefficient and the dose modulation value, and a writing unit to write a pattern on a target object with the charged particle beam of the dose

2 citations


Journal ArticleDOI
TL;DR: In this paper, the authors reported on the fabrication of polymethylmethacrylate (PMMA) nanogratings on silicon (Si) and glass substrates using electron beam lithography technique.
Abstract: We report on the fabrication of polymethylmethacrylate (PMMA) nanogratings on silicon (Si) and glass substrates using electron beam lithography technique. Various aspects of proximity corrections using Monte Carlo simulation have been discussed. The fabrication process parameters such as proximity gap of exposure, exposure dosage and developing conditions have been optimized for high-density PMMA nanogratings structure on Si and glass substrates. Electron beam exposure is adjusted in such a way that PMMA acts as a negative tone resist and at the same time resolution loss due to proximity effect is minimum. Both reflection and transmission-type, nanometre period gratings have been fabricated and their diffraction characteristics are evaluated.

1 citations


Proceedings ArticleDOI
01 Oct 2014
TL;DR: In this paper, an approach to fabricate 100 nm polarization gratings on the substrates of Si and quartz slide covered with an aluminum film in a 30 kV acceleration voltage electron beam lithography system was presented.
Abstract: We present an approach to fabricate 100 nm polarization gratings on the substrates of Si and quartz slide covered with an aluminum film in a 30 kV acceleration voltage electron beam lithography system. The exposure dose was set from 300 to 1500 µc/cm2, with the other parameters fixed including the electron accelerating voltage, beam spot size and beam current. It is found that when the substrate density changes, the exact exposure doses will be different for the fabrication of 100 nm gratings due to the proximity effect caused by backscattered electrons. According to the theoretical analysis and experimental research, the backscattered area of electrons will be expanded with the increase of the substrate density. Thus, the exposure dose should be properly selected to alleviate the proximity effect caused by the increased density. This work provides a way for the fabrication of 100 nm polarization gratings using EBL.

Patent
08 Sep 2014
TL;DR: In this paper, the exposure dose of a charged particle beam is calculated based on the proximity effect correction amount and fogging effect correction amounts, when the stored energy ratio is zero due to back scattering, and pattern arrangement data.
Abstract: PROBLEM TO BE SOLVED: To provide a charged particle beam lithography method and a charged particle beam lithography apparatus which allows for reduction in the number of pattern data being outputted while shortening the computing time, in the exposure dose correction where two phenomena of different influence areas are taken into account.SOLUTION: A proximity effect correction amount for correcting the proximity effect is calculated based on the pattern data and added thereto, and a correction amount when the stored energy ratio is zero due to back scattering is calculated. Based on the proximity effect correction amount, the correction amount when the stored energy ratio is zero due to back scattering, and pattern arrangement data, a fogging effect correction amount for correcting the fogging effect is calculated, and then the exposure dose of a charged particle beam is calculated based on the proximity effect correction amount and fogging effect correction amount.

Patent
09 Dec 2014
TL;DR: In this paper, a variable shaped beam (VSB) is used to create glyphs on a surface by one VSB shot or group of shots, and an optimization technique can be used to minimize the number of shots.
Abstract: PROBLEM TO BE SOLVED: To provide a method of using a variable shaped beam (VSB) for forming an intended pattern on a surface which is advantageous in reducing time and costs in a charged particle beam drawing system.SOLUTION: A cluster of a plurality of VSB shots deviates from an intended pattern. VSB shots are made to be mutually superposable, and the dose of shots is changeable. A similar method is applied to optical proximity effect correction, fracturing, mask data preparation and proximity effect (OPC) correction. To create glyphs, a pattern to be formed on a surface by one VSB shot or group of VSB shots is calculated preliminarily. An optimization technique can be used to minimize the number of shots. The method is used in e.g. a process of producing integrated circuits by optical lithography using a reticle or a process of producing integrated circuits by direct drawing.

Patent
06 Nov 2014
TL;DR: In this article, an exposure dose arithmetic unit was proposed to suppress the dimensional fluctuation of a pattern due to resist heating while suppressing the correction residual of a proximity effect even during multiple lithograph.
Abstract: PROBLEM TO BE SOLVED: To provide a device capable of drawing for suppressing the dimensional fluctuation of a pattern due to resist heating while suppressing the correction residual of a proximity effect even during multiple lithograph.SOLUTION: The device comprises: an exposure dose arithmetic unit for virtually dividing the drawing region of a sample into TFs in a first mesh size, virtually dividing the same into adjacent mesh regions in a second mesh size equal to the mesh size of the TF divided by the number of passes in multiple lithography and further divided by a natural number, and then calculating an exposure dose by using an exposure dose model using an exposure does threshold; a typical temperature arithmetic unit for calculating, for each pass in multiple lithography and for each TF, a typical temperature of the TF rising due to heat transmission caused by irradiation with an electron beam; a polynomial arithmetic unit for performing an arithmetic operation on a polynomial expression having, in its element, a term multiplied by an exposure dose factor based on the typical temperature of each pass and a pattern area density per adjacent mesh region; and a drawing unit for drawing a pattern on a sample by using a charged particle beam with an exposure dose in which a difference between the value derived by arithmetic operation on the polynomial expression and the exposure dose threshold is within a permissible range of values.