scispace - formally typeset
Search or ask a question
Topic

Proximity effect (electron beam lithography)

About: Proximity effect (electron beam lithography) is a research topic. Over the lifetime, 940 publications have been published within this topic receiving 8508 citations.


Papers
More filters
Patent
10 Jan 2005
TL;DR: In this article, a photomask for forming contact patterns is provided to correct proximity effect by unifying the light strength on both of the center and the edge part of the main pattern.
Abstract: PURPOSE: A photomask for forming contact patterns is provided to correct proximity effect by unifying the light strength on both of the center and the edge part of the main pattern, and thus to improve the profile of the edge part of the main pattern. CONSTITUTION: The photomask for forming contact pattern comprises main patterns(540) to define light exposure area where transfer of pattern to a semiconductor substrate is occurred, and at least one auxiliary pattern(500), which is aligned on the extended center lines of the main patterns and has a width as much as the transfer of pattern to the semiconductor substrate is not occurred.

2 citations

Journal ArticleDOI
TL;DR: In this paper, a three-layer resist using heavy metal as an intermediate layer is investigated in detail for the purpose of reducing radiation damage and delineating fine patterns in electron beam direct writing lithography.
Abstract: For the purpose of reducing radiation damage and delineating fine patterns in electron beam direct writing lithography, fundamental characteristics of a three‐layer resist using heavy metal as an intermediate layer is investigated in detail. An exposure and development simulation program, ELSIS, is extensively used to estimate resolution and linewidth control. The exposure intensity distributions for a line source are calculated to evaluate pattern delineation characteristics and degree of proximity effect in this three‐layer resist. The developed pattern profiles of positive‐type resist and absorbed energy density profiles in negative‐type resist are calculated. Some relevant experimental data also are presented. These results indicate that several advantages can be expected from this three‐layer resist, such as an apparent increase in resist sensitivity and a reduction of the interproximity effect.

2 citations

Patent
09 Jul 1999
TL;DR: In this paper, a photo-sensitive substrate is irradiated with an electron beam for correction through a reticle for correction, and proximity effect caused by irradiation of an electronbeam for pattern formation to a region 23 in a chip region 21 on the substrate 18 is corrected.
Abstract: PROBLEM TO BE SOLVED: To improve lithography precision of a fine pattern by adequately correcting proximity effect even in the peripheral part of a chip region, when the acceleration voltage of an electron beam for pattern formation is increased. SOLUTION: A photo-sensitive substrate 18 is irradiated with an electron beam for correction through a reticle for correction, and proximity effect caused by irradiation of an electron beam for pattern formation to a region 23 in a chip region 21 on the substrate 18 is corrected. Not only a region 24 in the chip region 21 but also a peripheral region 22 outside the chip region 21 on the substrate 18 are irradiated with the electron beam for correction.

2 citations

Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, the effect of illumination, lens aberration, and resist diffusion to the CD and process window at the above described intermediate pitch range was investigated in theory and experiment, and it was shown that longer diffusion correlates to a deeper "dip".
Abstract: Optical proximity correction has been widely used to correct line width variation in various different environments. The most important correction will be the CD through-pitch variation. For deep-UV (DUV) photo processes, it is observed that the CD will have a reduced trend at certain intermediate pitch range around 1.1 to 1.4 wavelength / NA (numerical aperture), also called "forbidden pitch". The process windows within this pitch range are small. In this case, even though we can use OPC to print the CD correctly, the process window can still be limited, which can generate a bottleneck for the entire process. In order to make OPC more effective, we find it necessary to be able to design an optimized process with enough process windows for all pitches. Although this may mean that we need to map out the entire parameter space spanned by relatively unknown parameters in resist, exposure tool quality, mask tolerance, etc, recent developments in the understanding of the effect of illumination selection, scanner lens aberration, and resist blur have provided us with new hints in realizing it. Such new developments include the optimization of off-axis illumination (OAI) condition, the characterization of the effect of lens aberration, and the selection of resists with appropriate effective acid diffusion length. We have studied the effect of illumination, lens aberration, and resist diffusion to the CD and process window at the above described intermediate pitch range both in theory and experiment. We have found that the effective resist diffusion, whose range is from 10nm to 50nm, can affect the process window at the intermediate pitch range, to as much as a few tens of nanometers. We will show that, in general, longer diffusion correlates to a deeper "dip". However, according to the experience in the use of photo resists, short diffusion length can also affect process window through the reduction of depth of focus. Therefore it is important to find an optimized resist diffusion length under various ground-rule and illumination conditions. But there has been no studies reported so far as to how much diffusion that can be tolerated for a given process at the intermediate pitch range. We have also performed experiments on the effect of the scanner lens aberration, we found that the lens aberration, which may be largely ignored in the past, may affect the process performance, causing mask error factor to rise significantly. In this paper, we will present the result of our experiments and theoretical investigations in how much resist diffusion and lens wave front error that can be tolerated for a given photolithographic process with certain CD tolerance. Insight will be provided for the choice of illumination conditions, resolution enhancement techniques, and the resist in realizing the best CD through-pitch performance under any given ground-rule condition.

2 citations

Journal ArticleDOI
TL;DR: In this article, an additive process using the three-level resist scheme for X-ray mask fabrication by electron beam lithography is analyzed by Monte Carlo simulation of electron scattering, and the resist exposure is calculated for specific e-beam test patterns aimed at 0.2μm resolution.
Abstract: An additive process using the three-level resist scheme for X-ray mask fabrication by electron beam lithography is analysed by Monte Carlo simulation of electron scattering. The resist exposure is calculated for specific e-beam test patterns aimed at 0.2μm resolution. The time evolution of the developed resist profiles is simulated by using a string model for dissolution. Relevant process variables such as e-beam energy (25 to 50 keV) and resist thickness are investigated. Simulation results demonstrate that 50 keV is altogether a preferred condition, compared to 25 keV, leading however to different pattern transfer techniques, according to resist thickness. The process modelling is compared with previously reported experimental results. Good qualitative agreement is found, indicating that modelling can be used as an effective aid in the quantitative evaluation of the process.

2 citations


Performance
Metrics
No. of papers in the topic in previous years
YearPapers
202316
202234
20214
20206
20194
20186