scispace - formally typeset
Search or ask a question
Topic

Proximity effect (electron beam lithography)

About: Proximity effect (electron beam lithography) is a research topic. Over the lifetime, 940 publications have been published within this topic receiving 8508 citations.


Papers
More filters
Patent
25 Feb 1997
TL;DR: In this article, the optical proximity effect correction pattern is used to improve the working accuracy of a logic circuit by providing a pattern condition inputting part 2 used for the input of a pattern design rule which is a condition for extracting a photomask pattern part.
Abstract: PROBLEM TO BE SOLVED: To provide a design fulfilling the design rule of a logic circuit and to easily obtain a photomask pattern including an optical proximity effect correction pattern where fine working accuracy is improved SOLUTION: This system is composed of the designing device possesses a pattern condition inputting part 2 used for the input of a pattern design rule which is a condition for extracting a photomask pattern part to be optimized at a usual photomask pattern, a pattern extracting part 7 extracting an optical proximity effect pre-correction pattern cell that is not suited to the pattern design rule and is corrected in terms of an optical proximity effect, a light intensity simulation part 9 repeatedly executing light intensity simulation for several times for a pre-optimizing pattern cell and a pattern optimizing part 10 optimizing the optical proximity effect pre-correction pattern cell based on plural simulation results COPYRIGHT: (C)1998,JPO

7 citations

Patent
09 Nov 2006
TL;DR: In this article, a drawing pattern to be corrected is divided by a rough mesh for foggy effect correction and a fine mesh for proximity effect correction, and a proportion of an area occupied by the pattern is drawn for each of the meshes is obtained An accumulated energy based on foggy effects and proximity effect in execution of exposure is calculated in a state in which a correction for a calculating object mesh is not carried out at all.
Abstract: PROBLEM TO BE SOLVED: To provide an electron beam drawing method and an electron beam drawing apparatus capable of highly accurate dimension correction of drawing pattern considering both a proximity effect and an foggy effect, preventing fluctuation in dimension characteristic due to roughness or density of the drawing pattern, and improving plane uniformity in a resist step SOLUTION: A drawing pattern to be corrected is divided by a rough mesh for a foggy effect correction and a fine mesh for a proximity effect correction, and a proportion of an area occupied by the pattern to be drawn for each of the meshes is obtained An accumulated energy based on a foggy effect and a proximity effect in execution of exposure is calculated in a state in which a correction for a calculating object mesh is not carried out at all An exposure amount in the fine mesh for a proximity effect correction is obtained by an initial calculation so that the influence of the foggy effect and the proximity effect is reduced from the obtained accumulated energy, and a pattern and a dimension which can disregard the influence of the foggy effect and the proximity effect are coincident with each other by the calculated accumulated energy Recalculation is executed so that the pattern and the dimension which can disregard the influence of the foggy effect and the proximity effect are coincident with each other with the influence of the foggy effect and the proximity effect fixed The recalculation is repeated until desirable precision reaches in a dimension COPYRIGHT: (C)2007,JPO&INPIT

7 citations

06 Jul 2010
TL;DR: In this article, the effect of the exposure temperature on the performance of HSQ resist in ultra high resolution electron beam lithography was investigated, and the results provided a quantitative picture of limiting factors for the achievement of ultra-high resolution.
Abstract: The research work described in this thesis deals with studying the ultimate resolution capabilities of electron and ion beam lithography (EBL and IBL respectively) with a focus on resist and exposure processes. The aim of this research was to enlarge knowledge and improve methods on the formation of ultra-high resolution structures. Research sub-projects were defined to focus on specific aspects of ultra-high resolution lithography. The results of these sub-projects form the basis of this thesis. After a short introduction to the principles of lithography, the key points to high resolution technology and the scope of the thesis (Chapter 1), Chapter 2 summarizes the most outstanding and successful attempts performed so far by researchers in the field to improve parameters influencing the lithographic process to achieve formation of ultimately small features at the highest density. The purpose of Chapter 2 is to give reader an understanding of complexity of the lithography process and to give insight into variable ways how to optimize. Therefore the emphasis is given to the experimental results on optimization of each lithography step. First, results on different methods of beam quantification and optimization of crucial parameters in the exposure system are presented. Next, the various tricks to optimise the lithographic process during resist treatments and post-exposure steps as well as during the most important steps – exposure, development and drying are discussed. The last part of this chapter focuses on experimental results of exposure of inorganic resist materials and exposure using ultra thin resist layers as exponents of ultimate resolution. The thesis research started with establishing a quantitative picture of the high resolution electron beam exposure process in ultra thin hydrogen silsesquioxane (HSQ) resist layers. These results are presented in Chapter 3. Three important contributions to quantitative electron beam patterning in the 2-10 nm regime are considered. First of all, electron beam measurements with an advanced knife-edge structure described in this chapter pointed to a minimum e-beam diameter of approximately ~3.9 nm in our lithography system, to be compared with the theoretical estimate of 3 nm. Then, it was demonstrated that adjustment of the beam focus by erroneous beam optimisation due to aging in the marker performance accounts for a broadening of smallest features up to about 3 nm. Additionally the linewidth broadening of about 13 nm in comparing ‘thin’ (5 nm) and ‘thick’ (50 nm) resist layers is attributed to a different density in the secondary electron (SE) exposure of the resist. The combined results provided a quantitative picture of limiting factors for the achievement of ultimate resolution. In chapter 4 the impact of resist thickness on the resolution performance of HSQ electron beam resist is investigated. Thickness of the resist layer was found to have a substantial influence on sensitivity, contrast and surface morphology. Dependence of electron cascade processes on thickness was shown to contribute to the variation of the resist sensitivity and the consequent linewidth broadening with increase of resist thickness. Monte Carlo (MC) simulations were used to get an insight into the exposure process and the energy transfer to the resist. The results of the MC modeling were found to be in good agreement with the experimental results and the proposed mechanism on sensitivity loss and structure linewidth broadening with increase of resist thickness. The molecular cluster size was shown to increase significantly with smaller resist thickness which enhances the surface roughness for ultra thin resist layers. Vacuum drying of resist films was demonstrated to result in smoothening of the resist surface, while it had no strong influence on the ultra high resolution patterning capability of HSQ resist. In Chapter 5, the influence of the exposure temperature on the performance of HSQ resist in ultra high resolution electron beam lithography was investigated. Dependencies of the HSQ contrast and sensitivity with respect to the temperature during exposure were obtained. Besides the increase of sensitivity at elevated temperature up to 90 oC, a slight degradation of the contrast was observed with exposure temperature rise. An activation energy ~1.6 kcal/mol was obtained from the linear region of the Arrhenius plot of the sensitivity vs. temperature. It was shown that ultra high resolution structures formed at elevated temperatures exhibit improved uniformity in combination with less sensitivity to overdose. The observed effects were attributed to several mechanisms. Amplification of thermally activated processes and their influence on the the resist sensitivity and molecular weight distributions between original and irradiated resists was proposed as the main mechanism which determines contrast and consequent resist resolution with exposure temperature variation. Complementary to the main mechanism, a slight decrease of diffusion range of SE and a decrease of structure’s porosity with a rise of exposure temperature due to enhanced cross linking could take place. In Chapter 6 a method for improving the aspect ratio of ultrahigh-resolution structures in negative electron-beam resist is provided. The idea of this method is formation of a protective “cap” on top of the resist structure by means of electron-beam-induced deposition (EBID) in a self-aligned approach. This way the pattern transfer capabilities maybe enhanced. The process is implemented by a combination of electron-beam lithography and EBID during exposure of the resist material in the presence of a precursor gas. As the result, aspect ratios up to 20% and 14 % higher were obtained for Pt precursor (methylcyclopentadienyl(trimethyl)platinum) and TEOS precursor, respectively. It was shown that the absolute feature-height improvement achieved by means of EBL+EBID compared to conventional EBL is most prominent for thicker resist layers. This effect was related to an enhanced development speed in thicker layers. Tungsten precursor showed no aspect ratio improvement at all. It was demonstrated that erosion of the deposited cap material during development is a serious drawback. It was concluded that the combined EBL+EBID method requires further optimization, whereby the type and flux of precursor gas, the process temperature, and the developer conditions (strength, composition) are the most important parameters. Chapter 7 deals with an investigation of the lithographic process with a scanning sub-nanometer helium ion beam. The lithographic performance of both the positive tone poly(methyl methacrylate) (PMMA) and the negative tone HSQ resist were studied. It was demonstrated that the scanning He+ ion beam has a very high resolution (down to 6±1 nm features in HSQ). Additionally, superior low proximity effect as compared to electron beam exposure was demonstrated. It enabled the formation of extreme high-density features down to pitch of 14 nm in HSQ resist. Furthermore, He+ ion exposure was shown to be several times more effective than electron beam exposure at the same acceleration voltage, whereas the contrast was found to be almost equal. HSQ and PMMA resists exhibited respectively 4 and 17 times higher sensitivities for helium ions than for electrons at the same energy of 30 keV. Dependence of sensitivity on He+ ions energy was found to correlate with the electronic stopping power of ions in resist. Overall, He+ ion beam lithography was shown as a very promising technique for the formation of ultrahigh resolution structures of a high density and having feature sizes far into the sub-10-nm range. In chapter 8, the response of Al2O3 material to bombardment with He+ ions and consequent development was investigated and partly compared to lithographic behavior of WO3. Positive tone and negative tone resist behavior after alkaline development was observed for Al2O3 depending on the exposure dose. Observed lithographic behavior of Al2O3 was ascribed to the role of the material density, structural order and defects influencing the solubility of Al2O3 during development. Exposure to He+ ions does not reduce Al2O3 to metallic state contrary to the heavier atomic weight transition metal oxide WO3. Sub-surface bubbles were not observed after He+ ion beam exposure within investigated dose range. Finally, sub-10-nm patterning of Al2O3 was demonstrated in a negative tone exposure mode. In conclusion, the results presented in this thesis demonstrate several ways of optimizing the lithographic process to ultimate performance. Optimization of the lithographic system, exposure of resists at elevated temperatures, utilization of ultra thin layers, combination of EBL and EBID, exposure of several resist materials with helium ion beam were undertaken. Successful outcome of each step brought additional understanding of the ultra-high resolution lithographic process together with a number of useful findings, which make patterning of sub-10-nm structures at high density more feasible.

7 citations

Patent
25 Jul 2002
TL;DR: In this article, the authors proposed an electronic beam exposure method for irradiating electron beams with high acceleration with which CD controllability can be ensured by a proximity effect correction method where a latitude in a quantity of the beam blurs is considered.
Abstract: PROBLEM TO BE SOLVED: To provide an electronic beam exposure method for irradiating electron beams with high acceleration with which CD controllability can be ensured by a proximity effect correction method where a latitude in a quantity of the beam blurs is considered. SOLUTION: First, in S1, a device pattern shape to be formed on a reticle is determined. In S2, a bias quantity distribution resulting from the proximity effect is established based on the device pattern shape. Next, in S3, line widths at the time of change in the quantity of the beam blurs are predicted. In S4, an exposure dose is established where a CD convergence region becomes narrowest (a pivotal point) at the time of change in the beam blur. Further, a portion for making a reshape quantity zero in the exposure dose is determined to establish a bias quantity of the portion as a reference bias quantity. Then, in S5, the reshape quantity is calculated based on the bias quantity distribution determined in S2. Consequently, in S6, proximity effect correction quantity (the reshape quantity) data are obtained. COPYRIGHT: (C)2004,JPO

7 citations

Patent
10 Jul 1980
TL;DR: In this paper, a light-optical method is proposed to measure the proximity effect in the dash pattern with decreasing land width in the photoresist by electron p rojek- tion and the development process is prematurely terminated.
Abstract: Zur Kompensation der Streuverluste von Elektronen in Photolacken (Proximity Effekt), die sich bei der Elektronenstrahllithographie durch Anderungen der Mustergeometrie auswirken, wird vorgeschlagen, ausgewahlten Teilgebieten (22,23,24) eines Musters eine zusatzliche Bestrahlungsdosis in einem zweiten Belichtungsschritt zuzufuhren. To compensate for the wastage of electrons in photoresists (proximity effect), which have an impact by changes in the pattern geometry in electron beam lithography, it is proposed to selected fields (22,23,24) of a pattern supplying an additional radiation dose in a second exposure step. Dazu kann eine besondere Maske mit entsprechenden Korrekturoffnungen dienen, die mit gleicher oder anderer Elektronenstrahlintensitat beaufschlagt wird. For this purpose, a special mask with corrective openings are to be subjected to the same or different electron beam intensity. In besonders vorteilhafter Weise last sich die Korrektur des Proximity Effekts bei Verwendung von Komplementarmasken erreichen: Dazu werden die Korrekturoffnungen (z. B. 50b, d) fur die Teilgebiete (40b, d) der einen Komplementarmaske (42) in der anderen Komplementarmaske (41) angeordnet. In a particularly advantageous manner, the correction of the proximity effect when using complementary masks can be achieved: given the correction openings (eg 50b, d.) For the sub-regions (40b, d) of a complementary mask (42) in the other complementary mask (41 ) arranged. Die Korrektur des Proximity Effekts erfolgt dann ohne zusatzlichen Belichtungsschritt. The correction of the proximity effect is carried out without additional exposure step. Zur Messung des Proximity Effekts wird ein lichtoptisches Verfahren vorgeschlagen, bei dem Strichmuster mit abnehmender Stegbreite im Photolack durch Elektronenstrahl p rojek- tion definiert werden und der Entwicklungsprozes des Photolacks vorzeitig abgebrochen wird. To measure the proximity effect, a light-optical method is proposed to be defined in the dash pattern with decreasing land width in the photoresist by electron p rojek- tion and the development process of the photoresist is prematurely terminated. Die bei Vorliegen des Proximity Effekts unsymmetrischen Stegkanten lassen sich dann leicht im Mikroskop feststellen. The unbalanced in the presence of the proximity effect web edges can then be easily determined in the microscope.

7 citations


Performance
Metrics
No. of papers in the topic in previous years
YearPapers
202316
202234
20214
20206
20194
20186