scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 1989"


Book
01 Jan 1989
TL;DR: A brief history of resists negative photoresists can be found in this paper, where a review of photophysics and photochemistry in solid polymers photoinitiated polymerization positive resists based on diazonaphthoquinones is presented.
Abstract: A brief history of resists negative photoresists aspects of photophysics and photochemistry in solid polymers photoinitiated polymerization positive resists based on diazonaphthoquinones the rudiments of imaging science deep-UV lithography electron beam lithography X-ray and ion beam lithographies multilayer techniques and plasma processing.

220 citations


Journal ArticleDOI
TL;DR: In this article, a review of silicon-containing resist stripping and multilayer resist patterning is presented, with particular emphasis on silicon•containing resists proposed for bilayer lithography.
Abstract: Oxygen‐based plasmas commonly used in resist stripping and multilayer resist patterning are contrasted to highlight the differences involved in these applications. Mechanisms for polymer etching are reviewed, with particular emphasis on silicon‐containing resists proposed for bilayer lithography. While silicon‐containing materials offer a simpler process than trilayer schemes for improving lithographic resolution, considerable differences in etch behavior among these materials have been observed. Further characterization and fundamental understanding are required before widespread acceptance of silicon‐containing resists is achieved.

183 citations


Journal ArticleDOI
TL;DR: In this article, a soft x-ray lithograpy using multilayer mirrors for demagnifying optics and a reflecting mask has been designed and studied experimentally, where a wavelength of 45-130 A has been selected based on the optical characteristics, the exposed depth of the resist film, and the reflectivity of the multillayer mirror.
Abstract: A soft x‐ray lithograpy using multilayer mirrors for demagnifying optics and a reflecting mask has been designed and studied experimentally. In this system, a wavelength of 45–130 A has been selected based on the optical characteristics, the exposed depth of the resist film, and the reflectivity of the multilayer mirror. To obtain a replication pattern resolution of 0.2 μm, the numerical aperture required is estimated to be greater than 0.0125 or 0.0325 for a wavelength of 50 or 130 A, respectively. These values show that the multilayer optics using two mirrors can be realized to replicate a 0.2 μm pattern. The experiments were performed on the SR beamline BL‐1 of the KEK‐PF storage ring. The Schwarzschild demagnifying optics with a ring field were designed and fabricated. Demagnified exposure patterns of less than 0.5 μm have been obtained using a reflecting mask. The feasibility of the soft x‐ray reduction method using multilayer mirrors has been confirmed. Furthermore, new telecentric optics are propos...

179 citations


Patent
14 Nov 1989
TL;DR: In this article, a glow discharge cathode and a fine-mesh grid are used to control the electron beam current over a wide range with only small grid voltage changes, which can be used in shadow mask lithography, resist sensitivity measurement, lift off processing, and resist curing.
Abstract: A large-area electron source (22) which can operate continuously, stably, and indefinitely in a poor vacuum (20) environment. The source includes a glow discharge cathode, appropriately positioned with respect to a target anode (30) and a fine-mesh grid (26) spaced from the cathode (22) by a distance less than the mean free path length of electrons leaving the cathode (22), the grid (26) being electrically biased to control the electron beam current over a wide range with only small grid voltage changes. An accelerating voltage (29) applied to the cathode (22) can be varied continuously from as low as a few hundred volts to 30 KeV or greater and the source will continue to operate satisfactorily. Further, the grid (26) is made of a fine mesh wire of sufficiently small dimensions as to not be resolvable in the target plane (30). A further refinement of the device utilizes scanning coils (34) to achieve additional uniformity of the incident beam at the target plane (30). The basic apparatus of the invention can be combined with other features, for use in shadow mask lithography, resist sensitivity measurement, lift off processing, and resist curing.

154 citations


Journal ArticleDOI
TL;DR: In this paper, the authors studied the characteristics and limitations of optical projection lithography using high numerical-aperture (NA) optical microscopy lenses and developed a simple, quick-turn-around method of making sub-quarter-micron-linewidth x-ray masks.

141 citations


Journal ArticleDOI
TL;DR: In this paper, the same basic positive photoresist, a diazonaphtho- quinone-novo lac composite, will likely still be the resist of choice and will be the dominant technology well into the first half of the 1990s.
Abstract: Since the last review on resist materials for microlithography appeared in the Annual Review of Materials Science (Vol. 6, 1976) (1), astonishing progress has been made in microelectronics, especially in the technology of lithography used to generate high-resolution patterns. In 1976, the state­ of-the-art dynamic random access memory (DRAM) device was capable of storing 4000 bits of data and had minimum features of 5-6 flm. Photo­ lithography was utilized to pattern these devices using either contact print­ ing or, the then relatively new, one-to-one projection printing. Today, devices with one million bits of storage capacity are commercially available with minimum features of 1.0 flm (2). By 1976 standards, it is surprising that photolithography is still the technology used to fabricate micro­ electronic chips. Step-and-repeat 5 or 10 x reduction cameras and highly sophisticated I -toI projection printers are the dominant printing tech­ nologies. There is perhaps no better example than lithography to illustrate the uncertainty associated with predicting technological direction and change. In 1976, it was generally believed (though not by everyone) that photolithography would not be able to produce features smaller than about l.5 flm with high chip yields in a production environment. The current belief is that conventional photolithography will be able to print 0.6-0.8 flm features and will be the dominant technology well into the first half of the 1990s. The same basic positive photoresist, a diazonaphtho­ quinone-novo lac composite, will likely still be the resist of choice. The cost of introducing a new resist material and the cost associated with new hardware are strong driving forces pushing photolithography to its absolute, ultimate limits.

126 citations


Patent
28 Feb 1989
TL;DR: In this article, an article is introduced into a supercritical atmosphere, which is formed in a pressure vessel and which atmosphere comprises carbon dioxide, and the article is then processed into a patterned resist film.
Abstract: In a method of processing an article, the article is introduced into a supercritical atmosphere which is formed in a pressure vessel and which atmosphere comprises carbon dioxide. When the article comprises an exposed resist film on a surface layer formed on a substrate, the exposed resist film is selectively removed to leave a predetermined pattern in the supercritical atmosphere and is thus processed into a patterned resist film. After the surface layer is selectively etched through the patterned resist film to form a patterned surface layer, the patterned resist film may be introduced into the supercritical atmosphere to be completely removed from the patterned surface layer. On processing the article, such as a compact disc, a mechanical parts, or the like, the article may be also introduced into the supercritical atmosphere to be cleaned up.

124 citations


Patent
28 Nov 1989
TL;DR: In this article, the authors describe a resist process apparatus for holding a semiconductor wafer in partial contact with the peripheral portion of the wafer, where the contact area between the support members and the semiconductor is small and changes in temperature when it is held are small.
Abstract: A resist process apparatus of the invention serves to load/unload a semiconductor wafer in/from the respective process mechanisms. The apparatus includes a wafer holding member for holding a semiconductor wafer, and X, Y, Z and θ driving mechanisms for conveying the wafer holding member to a resist coating mechanism and the like. The wafer holding member includes a support frame which is larger than diameter of a semiconductor wafer, and a plurality of support members, arranged on the support frame, for supporting the semiconductor wafer in partial contact with the peripheral portion of the semiconductor wafer. Since the contact area between the support members and a semiconductor wafer is small, changes in temperature of the semiconductor, when it is held, are small.

114 citations


Patent
25 Jul 1989
TL;DR: In this paper, a method for the formation and dry development of photoresists treated only in a thin layer (i.e., approx. 2000 Angstroms thick) so as to be treated with an organometallic material is presented.
Abstract: The present invention is directed to a method for the formation and dry development of photoresists treated only in a thin layer (i.e., approx. 2000 Angstroms thick) so as to be treated with an organometallic material. Treatment of this thin layer of the resist formulation in the process of the present invention is preferably accomplished by the vapor phase exposure of the resist formulation to an organometallic material such as a silylating compound capable of reacting with the resist formulation. The resist formulation also contains a photoacid generator, capable of releasing an acid which either causes the hydrolysis of the exposed portions of the resist that were created with organometallic vapor, or prevents the reaction of the organometallic vapor with the exposed portion of the resist.

101 citations


Patent
20 Sep 1989
TL;DR: In this article, a photo resist mask was used as a mask to prevent etching liquid from permeating into the interface between a resist film and a nitride film, in the case of side-etching of the resist film, and obtain an excellent stencil structure.
Abstract: PURPOSE:To prevent etching liquid from permeating into the interface between a photo resist film and a nitride film, in the case of side-etching of the nitride film, and obtain an excellent stencil structure, by forming the photo resist film on the nitride film whose surface is previously oxidized. CONSTITUTION:On a gallium arsenide substrate 1, an aluminum nitride film 2 is grown, which is exposed to an oxygen atmosphere at a temperature of about 100 deg.C to form an oxide layer 3. A photo resist film 4 patterned by applying a usual resist process is formed. By using the resist as a mask, the oxide film 3 is etched with buffered hydrofluoric acid to form a trench 5. By etching the aluminum nitride film 2, a trench 5A of stencil structure is formed. By resistance heating vapor-deposition method, an aluminum film 6 is formed. By dipping the substrate in acetone, the photo resist film 4 is dissolved and eliminated. Thereby, the Al film 6 thereon also is eliminated, patterning by so-called lift-off method is progressed, and an electrode 6A is formed.

99 citations


BookDOI
01 Jan 1989
TL;DR: In this paper, the authors present an overview of the state-of-the-art in VLSI technology and their application in the field of computer aided design of semiconductor devices.
Abstract: 1 Silicon Crystal Growth.- 1.1 Introduction.- 1.2 Growth Characteristics.- 1.3 Impurity Incorporation.- 1.4 Trends in Large-Diameter Silicon Growth.- 1.5 Conclusions.- 2 Silicon Epitaxy.- 2.1 Introduction.- 2.2 EPI Equipment.- 2.3 Deposition.- 2.4 Doping.- 2.5 Autodoping.- 2.6 Pattern Shift.- 2.7 Defects.- 2.8 EPI Characterization.- 2.9 Conclusions.- 3 Silicon Oxidation.- 3.1 Introduction.- 3.2 Oxide Formation.- 3.3 Silicon Dioxide Properties.- 3.4 Conclusions.- 4 Physical Vapor Deposition.- 4.1 Introduction.- 4.2 Deposition Methods.- 4.3 Alloys and Compounds.- 4.4 Film Properties.- 4.5 Conclusions.- 5 Chemical Vapor Deposition.- 5.1 Introduction.- 5.2 Some Basic Aspects of CVD.- 5.3 Types of CVD Processes.- 5.4 Production CVD Reactor Systems.- 5.5 Deposition of Various Materials for VLSI Device Fabrication.- 5.6 Conclusions.- 6 Dielectric Materials.- 6.1 Introduction.- 6.2 Dielectric and Insulator Materials and their Applications in VLSI Technology.- 6.3 Methods of Film Formation and Equipment.- 6.4 Vertical Insulation in VLSI Technology.- 6.5 High Temperature Interconductor Insulation.- 6.6 Low Temperature Intermetal Insulation.- 6.7 Over-Metal Passivation Layer.- 6.8 Conclusions.- 7 Properties and Applications of Suicides.- 7.1 Introduction.- 7.2 Properties.- 7.3 Formation of Suicides and their Processing.- 7.4 Process Stability of Silicides-Resistivity, Stress and Device Reliability.- 7.5 Limitations.- 7.6 Conclusions.- 8 Forefront of Photolithographic Materials.- 8.1 Introduction.- 8.2 Extending Positive Resist Performance in the UV Region.- 8.3 Negative Resist Materials Which Do Not Swell During Development.- 8.4 Image Reversal Techniques.- 8.5 Contrast Enhancing Materials (CEMs).- 8.6 Amplification in Photoresist Technology.- 8.7 Deep UV Resists.- 8.8 Multilevel Resist Technology and Planarization.- 8.9 Bilayer Resist Processes.- 8.10 Gas-Phase-Functionalized Plasma-Developed Resists.- 8.11 Conclusions.- 9 Fine-Line Lithography.- 9.1 Introduction.- 9.2 Basic Fabrication Processes and Ultimate Resolution.- 9.3 UV Shadow Printing.- 9.4 X-Ray Lithography.- 9.5 Ion and Electron Beam Proximity Printing.- 9.6 Optical Projection.- 9.7 Scanning Electron Beam Lithography.- 9.8 Scanning Ion Beam Lithography.- 9.9 Conclusions.- 10 Dry Etching Processes.- 10.1 Introduction.- 10.2 RF Glow Discharges (Plasmas).- 10.3 Etching Considerations.- 10.4 Profile Control.- 10.5 Process Monitoring (Diagnostics).- 10.6 Other Dry Etch Techniques.- 10.7 Radiation Damage.- 10.8 Safety Considerations.- 10.9 Conclusions.- 11 Ion Implantation.- 11.1 Introduction.- 11.2 Ion Implanters.- 11.3 Range Distributions.- 11.4 Ion Damage.- 11.5 Annealing of Implanted Dopant Impurities.- 11.6 Ion Beam Annealing.- 11.7 Conclusions.- 12 Diffusion in Semiconductors.- 12.1 Introduction.- 12.2 Phenomenological Description.- 12.3 Point Defects and Atomistic Diffusion Mechanisms.- 12.4 Diffusion in Silicon.- 12.5 Diffusion in Germanium.- 12.6 Diffusion in Gallium Arsenide.- 12.7 Conclusions.- 13 Interconnect Materials.- 13.1 Introduction.- 13.2 Material and Process Requirements for VLSI Technology.- 13.3 Gate Metallization.- 13.4 Metal-Silicon Contacts.- 13.5 Interconnect Lines.- 13.6 Conclusions.- 14 Imperfection and Impurity Phenomena.- 14.1 Introduction.- 14.2 Imperfections and Impurities.- 14.3 Electrical Phenomena.- 14.4 Defect-Free Processing.- 14.5 Conclusions.- 15 Process Simulation.- 15.1 Introduction.- 15.2 Epitaxy.- 15.3 Ion Implantation.- 15.4 Diffusion.- 15.5 Lithography.- 15.6 Conclusions.- 16 Diagnostic Techniques.- 16.1 Introduction.- 16.2 Physical Background of Diagnostic Techniques.- 16.3 Analytical Aspects of Diagnostic Techniques.- 16.4 Areas of Application of Diagnostic Techniques.- 16.5 Specific Features and Applications of the Different Methods.- 16.6 Conclusions.- 16.7 Explanation of Acronyms and Abbreviations.

Journal ArticleDOI
TL;DR: In this article, the use of electrically conducting polyanilines as discharge layers for electron-beam (e-beam) lithography is described, where the conductivity of the poly-aniline interlayers is investigated.
Abstract: This paper describes the use of electrically conducting polyanilines as discharge layers for electron‐beam (e‐beam) lithography. The emeraldine oxidation state polyaniline is a soluble material which can be doped by various cationic reagents, most commonly protonic acids, to afford conductivity on the order of 10° Ω−1 cm−1. The conducting polyanilines are incorporated as thin interlayers (2000 A) in a multilayer resist system consisting of a planarizing underlayer (2.8 μm) and the imaging resist (1.2 μm) on top. We find that various acid‐treated polyanilines eliminate charging during e‐beam patterning of the resist, i.e., zero pattern displacements are observed as compared to the case where a conducting interlayer is not incorporated into the resist system. In the latter case placement errors greater than 5 μm are observed as a result of charging. A minimum conductivity of 10−4 Ω−1 cm−1 is required for the polyaniline interlayers in order to observe zero pattern displacement. In addition, we have simplifi...

Proceedings ArticleDOI
Akihiro Nitayama1, Takashi Sato1, Kazuhiko Hashimoto1, Fumiaki Shigemitsu1, M. Nakase1 
01 Dec 1989
TL;DR: In this paper, a simple and effective phase shifting mask technology was proposed to improve the resolution of photolithography without improving the resolution for exposure systems, which does not require assistant patterns and/or complicated design of the phase shifter patterns.
Abstract: In order to markedly improve the resolution of photolithography without improving the resolution of exposure systems, the authors propose a simple and effective phase shifting mask technology. The mask has self-aligned phase shifters which do not require assistant patterns and/or complicated design of the phase shifter patterns, which are essential to the conventional phase shifting mask. The mask with a phase shifter size of 0.5 mu m reduces the width of photointensity to 60% of that without phase shifters, while keeping high contrasts. The authors have fabricated the phase shifting mask and obtained 0.2- mu m line resist patterns with a high-contrast resist profile by a KrF excimer laser stepper with resolution capability of 0.4 mu m. The proposed phase shifting mask method is extremely attractive for a future ULSI lithography tool in 256-Mb DRAM (dynamic RAM) and beyond. >

Patent
26 May 1989
TL;DR: In this article, a coating apparatus for applying a resist or developing solution to a semiconductor wafer is described, which comprises a plurality of nozzles supplied with various resist from a resist source and each adapted to drip the different solution onto the wafer.
Abstract: Disclosed is a coating apparatus for applying a resist or developing solution to a semiconductor wafer. This coating apparatus comprises a plurality of nozzles supplied with various resist from a resist source and each adapted to drip the different solution onto the wafer, a vessel in which the nozzles is kept on stand-by, while maintaining the liquids in a predetermined state in the vicinity of discharge port portions of the nozzles, when the nozzles need not be operated, and a nozzle operating mechanism for selecting one of the nozzles kept on stand-by in the vessel, and transporting the selected nozzle to the location of the wafer, whereby the resist is applied to the wafer by means of only the nozzle transported by the nozzle operating mechanism.

Patent
28 Dec 1989
TL;DR: In this article, the quinone diazide sulfonate ester was incorporated as the photosensitive agent into the positive type resist compsn, which has various excellent characteristics, such as sensitivity, residual film rate, resolution, heat resistance, and preservable stability.
Abstract: PURPOSE: To provide the positive type resist compsn which has various excellent characteristics, such as sensitivity, residual film rate, resolution, heat resistance, and preservable stability, and is suitable for fine working particularly to ≤1μm by incorporating specific quinone diazide sulfonate ester as a photosensitive agent into the compsn CONSTITUTION: The quinone diazide sulfonate ester of the compd expressed by general formula (I) or (II) is incorporated as the photosensitive agent into the positive type resist compsn contg an alkaline-soluble phenolic resin and the photosensitive agent The compd of the general formula (I) which is a raw material is required to have 3 to 4 pieces of the hydroxyl groups to be incorporated into one molecule and 0 to 4 pieces of substituents, exclusive of the hydroxyl groups bonded to phenolic nuclei The compd of the general formula (II) is required to have 5 pieces of the hydroxyl groups to be incorporated into one molecule and 1 to 4 pieces of substituents, exclusive of the hydroxyl groups bonded to phenolic nuclei COPYRIGHT: (C)1991,JPO&Japio

Book
01 Mar 1989
TL;DR: The role of polyimide in IC fabrication is discussed in this paper, where the authors present an overview of the IC fabrication environment and its role in the packaging of IC components.
Abstract: 1. Overview of Integrated Circuits and Packaging. Introduction. IC fabrication environment. IC fabrication. The role of polymers in microelectronics. References. 2. Fundamental Principles of Polymers. Basic concepts. Synthesis. Characterization. States of polymers. Engineering properties. Plasma processes. Interfacial phenomena. References. Appendix: Key polymers used in the electronics industry. 3. Resists in Microlithography. Introduction. Exposure techniques. Resist requirements. Resist characterization. Resist materials. Resist processing. Multi-level resist processes. Dry film resists. References. 4. Polyimide Use in IC Fabrication. Introduction. The role of polyimide in IC fabrication. Polyimide properties. Polyimide chemistry. Polyimide processing. Planarization. New materials. Polyimide reliability. Polyimide as an a-particle barrier. Additional polyimide IC uses. References. 5. Encapsulation and Packaging of Integrated Circuits. Introduction. Processes in packaging operations. Package types. Laminated ceramics. Current trends of packaging and interconnection. Material property considerations. Major polymers used in semiconductor packaging. Encapsulation. Printed circuit boards. References. 6. Emerging Technologies. Introduction. Magnetic media. Optical storage. Nonlinear optical materials. References. Appendix A: Physical constants. Appendix B: Integrated circuit related acronyms. Index.

Patent
09 Nov 1989
TL;DR: In this article, an electron-beam resist is applied over a substrate so as to have a thickness larger than the maximum thickness of a micro aspherical lens to be fabricated, a predetermined pattern is written on this resist by electronbeam lithography and then, the resist is developed, thereby to fabricate a micro Aspherical Lens or the prototype thereof.
Abstract: An electron-beam resist is applied over a substrate so as to have a thickness larger than the maximum thickness of a micro aspherical lens to be fabricated, a predetermined pattern is written on this resist by electron-beam lithography and then, the resist is developed, thereby to fabricate a micro aspherical lens or the prototype thereof. Consequently, a micro aspherical lens causing little on-axis aberration and chromatic aberration and having a diameter of 1 millimeter or less can be achieved with high precision. In addition, the micro aspherical lens can be produced in large quantity because the reproduction thereof is relatively easy. Furthermore, an optical fiber coupling device, a focusing optical system, an optical device, a semiconductor laser light source, an image device or the like can be constructed using the micro aspherical lens thus fabricated. Finally, a micro lens array constructed by arranging converging lenses having a diameter of approximately several to several hundred micrometers in a two-dimensional manner is used and this micro lens array is arranged ahead of a light source, thereby forming a multi-beam spot caused by diffraction in a distant position. A three-dimensional shape can be recognized with high precision by shape recognition means utilizing this multi-beam spot.

Patent
Antoon Mattelin1
01 Sep 1989
TL;DR: In this paper, a double etch process is used to form interconnection wires on a printed circuit board and a second etch resist layer is applied to the metal layer not previously etched.
Abstract: A method for manufacturing printed circuit boards has a double etch process to form interconnection wiring. A metal layer is formed on the substrate. An etch resist layer is applied to the metal layer. The layer is selectively removed to allow for selective etching of the metal layer. A second etch resist layer is applied to the metal layer not previously etched. The side walls of the metal layer are also protected by the resist. The second etch resist layer is then selectively removed to allow for a second etching of the metal layer. After the second etching, the interconnection wiring remains in the desired pattern. The second etch resist layer, which may be tin, can be left on the wiring to improve component soldering.

Patent
26 Apr 1989
TL;DR: In this article, a gate pattern of a gate electrode 10 in a double-layered structure comprising heat resisting material films 3a and 3b having different etching characteristics is formed.
Abstract: PURPOSE:To improve controllability of a low resistance material film, which is formed on heat resisting material films submicrons thick by forming the patterned heat resisting material films and the low resistance material film, which is formed thereon in a self-alignment mode. CONSTITUTION:On a semiconductor substrate 1, a gate pattern of a gate electrode 10 in a double-layered structure comprising heat resisting material films 3a and 3b having the different etching characteristics is formed. Then, a resist film 4 is applied on the entire surface. Thereafter, the resist film 4 is etched. Thus the top of the upper heat resisting material film 3a in the pattern of the gate electrode 10 is made to protrude. After the upper heat resisting material film 3a is removed, a low resistance material film is formed on the entire surface. The low resistance material film 5 is formed on the lower heat resisting material film 3b of the pattern of the gate electrode 10 in a self-alignment mode. Thus, the stable gate configuration can be secured with good controllability even for a submicron length. Stable, excellent high frequency characteristics can be obtained on a run-to-run basis.

Patent
06 Oct 1989
TL;DR: In this paper, the authors proposed a method to prevent an n-type impurity and a p-type polycrystalline impurity from being diffused mutually, to enhance a device characteristic and to enhance the integration density by a method wherein an electrode composed of NPs and PPs are connected via NPs or p-types amorphous silicon.
Abstract: PURPOSE:To prevent an n-type impurity and a p-type impurity from being diffused mutually, to enhance a device characteristic and to enhance the integration density by a method wherein an electrode composed of n-type polycrystalline silicon and an electrode composed of p-type polycrystalline silicon are connected via n-type or p-type amorphous silicon. CONSTITUTION:In order to stably obtain a structure where an impurity has been introduced to amorphous silicon, a resist 19 is used as a mask, boron as a p-type impurity is diffused to a polycrystalline silicon film 16 and p-type polycrystalline silicon 16b is obtained. By this setup, n-type polycrystalline silicon 16a and the p-type polycrystalline silicon 16b are connected via n-type amorphous silicon 16c. Since a connection part of an n-type polycrystalline silicon gate electrode 21 and a p-type polycrystalline silicon gate electrode 22 is formed of an amorphous silicon electrode 23, it is possible to prevent an n-type impurity and a p-type impurity from being diffused mutually from the individual electrodes 21, 22 and to obtain a stable threshold value.

Patent
14 Mar 1989
TL;DR: In this article, an improved method of fabricating airbridge metal interconnects uses two photoresist layers having different solubility characteristics, which allows for the removal of one resist without affecting the other.
Abstract: An improved method of fabricating airbridge metal interconnects uses two photoresist layers having different solubility characteristics. This allows for the removal of one resist without affecting the other. Thus, the underlying semiconductor structure is protected from subsequent etches of the ground plane metal. Consequently, a greater process latitude allows for obtaining higher device yields in fabricating high frequency semiconductor devices employing airbridge metal interconnects.

Patent
Holger Hübner1
26 Jul 1989
TL;DR: In this article, a method for producing a resist structure on a semiconductor material which has an opening tapering towards the semiconductor materials is provided, which can be used for the manufacturing of T-gate metallizations in a field effect transistor.
Abstract: A method for producing a resist structure on a semiconductor material which has an opening tapering towards the semiconductor material is provided. This method can be used, for example, for the manufacturing of T-gate metallizations in a field effect transistor. In this method, a thin, upper resist layer is structured, and the structure is transferred onto a silicon nitride layer. The structure is then transferred into a thickly applied resist while widening the upper part of the etching profile. The method is accomplished by a succession of anisotropic and isotropic dry etching steps.

Patent
09 Feb 1989
TL;DR: In this article, a patterned x-ray multilayer mirrors are used for soft xray projection lithography, which is capable of projecting a 5x demagnified image of a mask onto a resist coated wafer.
Abstract: Soft x-ray projection lithography can be performed using x-ray optical components and spherical imaging lenses (mirrors), which form an x-ray reduction camera. The x-ray reduction is capable of projecting a 5x demagnified image of a mask onto a resist coated wafer using 4.5 nm radiation. The diffraction limited resolution of this design is about 135 nm with a depth of field of about 2.8 microns and a field of view of 0.2 cm 2 . X-ray reflecting masks (patterned x-ray multilayer mirrors) which are fabricated on thick substrates and can be made relatively distortion free are used, with a laser produced plasma for the source. Higher resolution and/or larger areas are possible by varying the optic figures of the components and source characteristics.

Journal ArticleDOI
TL;DR: In this article, a very high resolution probe (beam diameter ∼20 nm FWHM) is used so that forward scattering effects in the resist may be separated from the primary beam distribution.
Abstract: Accurate proximity correction has proven essential for the patterning of submicron features using electron beam lithography. The use of a two‐Gaussian model, which accounts for the finite beam size and forward scattering in the resist as well as backscattering, has demonstrated widespread success. It has been shown, however, that in certain instances, such as for features of order 100 nm or less or for exposure on high atomic number substrates, the two‐Gaussian expression is unable to adequately fit the absorbed energy distribution in the resist. Suggested modifications, such as the addition of a third Gaussian term to account for large angle electron scattering, or the inclusion of an exponential term which may account for an increased absorption rate in high Z materials, have resulted in improved fits. This paper describes a study to determine the improvement gained in exposed features by including additional Gaussian terms in the expression for the absorbed energy distribution in the resist. A very high resolution probe (beam diameter ∼20 nm FWHM) is used so that forward scattering effects in the resist may be separated from the primary beam distribution. PMMA is exposed on Si and GaAs substrates at 25 keV using proximity correction parameters generated by curvefitting the three‐Gaussian model and the two‐Gaussian model to the absorbed energy distributions. The three‐Gaussian model is seen to provide improved proximity correction particularly in the 100 nm size scale.

Patent
06 Apr 1989
TL;DR: In this article, a method for the selective plating of a metal substrate on which a thin polymeric plating resist is first applied, followed by the selective removal of said resist to expose portions of said substrate to plating, and plating.
Abstract: A method for the selective plating of a metal substrate on which a thin polymeric plating resist is first applied, followed by the selective removal of said resist to expose portions of said substrate to plating, and plating. More particularly, the method hereof includes the steps of selecting a laser wavelength which couples well to the metal substrate, choosing a polymer based plating resist having a low optical coefficient of absorption at said wavelength, curing said resist, subjecting selective areas of said resist to a single excimer laser shot, having a short wavelength, to cause ablative removal of the resist over the selective areas of said substrate, and subjecting said exposed portions of said substrate to metal plating.

Patent
13 Apr 1989
TL;DR: In this article, a process for producing a printed circuit board, which comprises the steps of forming a layer comprising a photosensitive resin composition on an insulating substrate having on its surface an adhesive layer adherent to a metal being subsequently plated thereon, is described.
Abstract: A process for producing a printed circuit board, which comprises the steps of forming a layer comprising a photosensitive resin composition on an insulating substrate having on its surface an adhesive layer adherent to a metal being subsequently plated thereon; exposing said layer comprising a photosensitive resin composition to an actinic radiation in a manner so as to form on said layer a negative pattern of a conductor circuit pattern and to semicure said negative pattern to an extent sufficient to keep it from erosion by a plating solution; developing by dissolving away with a solvent the uncured areas not exposed to said actinic radiation, thereby to form a plating resist on the areas of said negative pattern; chemically plating said conductor circuit pattern areas not covered with said plating resist, thereby to form a conductor circuit; and carrying out a curing treatment to cure completely said resist. According to this invention, it is possible to provide a printed circuit board of higher reliability compared with conventional processes.

Patent
Naomichi Abe1
13 Mar 1989
TL;DR: In this article, a negative resist pattern is formed by a mixture of water-soluble polymeric material having at least one hydroxyl group with a photoacid generator capable of releasing an acid upon radiation exposure, coating a solution of the resist material onto a substrate to form a resist layer, exposing layer to patterned radiation, heating the exposed resist layer in the presence of an acid as a catalyst to remove water, and developing resist layer with water to remove unexposed areas.
Abstract: A process for the formation of a negative resist pattern, comprising preparing a mixture consisting of water-soluble polymeric material having at least one hydroxyl group with a photoacid generator capable of releasing an acid upon radiation exposure, coating a solution of the resist material onto a substrate to form a resist layer, exposing layer to patterned radiation, heating the exposed resist layer in the presence of an acid as a catalyst to remove water, and developing resist layer with water to remove unexposed areas to form a resist pattern on the substrate.

Patent
23 Jun 1989
TL;DR: In this paper, a method for reducing the line widths produced by patterning a semiconduc substrate with a multilayer resist mask employs a ''spacer''-forming oxide layer which is non-selectively formed over the mask structure after an aperture for exposing a lower resist layer has been formed in an upper portion of the mask.
Abstract: A method for reducing the line widths produced by patterning a semiconduc substrate with a multilayer resist mask employs a `spacer`-forming oxide layer which is non-selectively formed over the mask structure after an aperture for exposing a lower resist layer has been formed in an upper portion of the multilayer mask, but prior to etching a lower resist layer. The oxide layer is subjected to a dry systemic etch to vertically remove material of the oxide layer down to the surface of the lower resist layer. Because of the substantial step coverage of the oxide layer, a `spacer` or `stringer` portion remains along the sidewalls of the original aperture in the upper portion of the mask, whereby the dimensions of the exposure window are reduced. Retaining this sidewall spacer as an integral part of mask structure permits narrower line widths to be replicated in the underlying substrate.

Patent
03 Nov 1989
TL;DR: In this paper, a multilevel resist mask pattern was proposed, which consists of forming a resist layer of organic material on a layer to be etched, and selectively etching, a planarizing lower layer used in the resist layer by using an etching gas of oxygen under a plasma condition, characterized in that a compound gas of at least one element selected from the group consisting of B, Si, Ti, Al, Mo, W, and S is added to the etch gas.
Abstract: A process for forming a resist mask pattern comprising the steps of forming a resist layer of organic material (a multilevel resist process) on a layer to be etched, and selectively etching, a planarizing lower layer (2) used in the resist layer by using an etching gas of oxygen under a plasma condition, characterized in that a compound gas of at least one element selected from the group consisting of B, Si, Ti, Al, Mo, W and S is added to the etching gas For example, the compound gas comprises BCl₃, BH₃, TiCl₄, S₂Cl₂, SiCl₄ or the like During the etching, a compound oxide (eg, B₂O₃, SiO₂ or the like) is deposited on sidewalls of the lower layer (2) to form a protective layer (10) which prevents undercutting

MonographDOI
31 Oct 1989
TL;DR: In this article, the authors present a design for self-developing imaging systems based on Thermally Labile Polyformals Polysilanes for image reversal process photooxidation of polymers.
Abstract: Polymers in Microlithography: An Overview Bronsted Acid Generation from Triphenylsulfonium Salts in Acid-Catalyzed Photoresist Films Chemically Amplified Resist: Effect of Polymer and Acid Generator Structure Copolymer Approach to Design of Sensitive Deep-UV Resist Systems with High Thermal Stability and Dry Etch Resistance Nonswelling Negative Resists Incorporating Chemical Amplification: Electrophilic Aromatic Substitution Approach Acid-Catalyzed Cross-Linking in Phenolic-Resin-Based Negative Resists New Design for Self-Developing Imaging Systems Based on Thermally Labile Polyformals Polysilanes: Solution Photochemistry and Deep-UV Lithography Syntheses of Base-Soluble Si Polymers and Their Application to Resists Lithographic Evaluation of Phenolic Resin-Dimethyl Soloxane Block Copolymers Preparation of a Novel Silicone-Based Positive Photoresist and Its Application to an Image Reversal Process Photooxidation of Polymers: Application to Dry-Developed Single-Layer Deep-UV Resists Kinetics of Polymer Etching in an Oxygen Glow Discharge Quantitative Analysis of a Laser Interferometer Waveform Obtained During Oxygen Reactive-Ion Etching of Thin Polymer Films Evaluation of Several Organic Materials as Planarizing Layers for Lithographic and Etchback Processing New Negative Deep-UV Resist for KrF Excimer Laser Lithography Characterization of a Thiosulfate Funtionalized Polymer: A Water-Soluble Photosensitive Zwitterion Pyrimidine Derivatives as Lithographic Materials Synthesis of New Metal-Free Diazonium Salts and Their Applications to Microlithography Photobleaching Chemistry of Polymers Containing Anthracenes Lithography and Spectroscopy of Ultrathin Langmuir-Blodgett Polymer Films Dissolution of Phenolic Resins and Their Blends Solvent Concentration Profile of Poly(methyl methacrylate) Dissolving in Methyl Ethyl Ketone: A Fluorescence-Quenching Study Molecular Studies on Laser Ablation Processes of Polymeric Materials by Time-Resolved Luminescence Spectroscopy Mechanism of Polymer Photoablation Explored with a Quartz Crystal Microbalance Mechanism of UV- and VUV-Induced Etching of Poly(methyl methacrylate): Evidence for an Energy-Dependent Reaction