scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 1993"


Journal ArticleDOI
TL;DR: To avoid the resist pattern collapse problem, the use of a low-surface-tension rinse liquid, a rinse liquid with contact angle ~90° at the resist surface, or a rigid and highly adhesive resist material is effective.
Abstract: In this study, the mechanism of resist pattern collapse during the resist development process is investigated. Resist pattern collapse occurs while the rinse liquid is being dried off. This conclusion was reached after observing the resist pattern before and after the rinse-liquid drying process. The resist pattern in the rinse liquid was observed using an atomic force microscope. The source of resist pattern collapse is the surface tension of the rinse liquid. The force increases with decreasing space width between resist patterns. To avoid the resist pattern collapse problem, the use of a low-surface-tension rinse liquid, a rinse liquid with contact angle ~90° at the resist surface, or a rigid and highly adhesive resist material is effective.

333 citations


Journal ArticleDOI
TL;DR: In this paper, a 65 nm thick layer of polymethylmethacrylate (PMMA) resist was exposed with an 80 kV electron beam of diameter smaller than 5 nm, and the resist was developed in 3:7 cellosolve:methanol with ultrasonic agitation.
Abstract: The present limit of around 10 nm for the width of lines fabricated by e‐beam lithography using polymethylmethacrylate (PMMA) resist on silicon substrates has been overcome. 5–7 nm wide etched lines in bulk Si substrates have been produced. A 65 nm thick layer of PMMA was exposed with an 80 kV electron beam of diameter smaller than 5 nm. After exposure the resist was developed in 3:7 cellosolve:methanol with ultrasonic agitation. The pattern in resist was transferred to the Si substrate with reactive ion etching. Lines of width varying between 5 and 7 nm were recorded using an S‐900 scanning electron microscope which has a resolution of 0.7 nm.

270 citations


Journal ArticleDOI
TL;DR: In this article, a self-assembled monolayer of n−octadecanethiol (C18H37SH) was used as a mask for chemical etching of GaAs.
Abstract: We present results on electron beam exposure of a self‐assembled monolayer film as a self‐developing positive resist on GaAs. A 1.5 nm thick monolayer of n‐octadecanethiol (C18H37SH) deposited on a GaAs (100) substrate showed a electron beam sensitivity of about 100 μC/cm2. The monolayer resist was used as a mask for chemical etching of the GaAs. Patterns in GaAs have been created with widths approximately equal to the exposing electron beam width of 50 nm.

156 citations


Journal ArticleDOI
19 Mar 1993-Langmuir
TL;DR: In this article, the tip of a scanning tunneling microscope was used to fabricate geometrically well structures within organized, self-assembled monolayer resist that have critical dimensions ranging from 60 nm to 5 micrometers.
Abstract: : The tip of a scanning tunneling microscope was used to fabricate geometrically well structures within organized, self-assembled monolayer resist that have critical dimensions ranging from 60 nm to 5 micrometers. To achieve nanometer-scale lithography, a Au(111) substrate was coated with a self- assembled monolayer of HS(CH2)17CH3, which functions as an ultrathin (approx. 2. 5 nm) resist, and then the resist was etched by an STM tip. This treatment results in window-like features that penetrate the organic monolayer. Nanolithographically defined features have been characterized by scanning tunneling microscopy, scanning electron microscopy, and electrochemical methods. For example, since mass and electron transfer to the conductive Au substrate are blocked by the monolayer everywhere except in the STM-etched regions, the windows serve as ultramicroelectrodes. The limiting current that results from radial diffusion of a bulk-phase redox species to the etched window is in close agreement with that predicted by theory.

147 citations


Journal ArticleDOI
TL;DR: In this article, self-assembled monolayers of n−octadecanethiol [ODT; CH3(CH2)17SH] on GaAs and n-octadecyltrichlorosilane [OTS] on SiO2 act as self-developing positive electron beam resists with electron-beam sensitivities of ∼100−200 μC/cm2.
Abstract: It was demonstrated that self‐assembled monolayers of n‐octadecanethiol [ODT; CH3(CH2)17SH] on GaAs and n‐octadecyltrichlorosilane [OTS; CH3(CH2)17SiCl3] on SiO2 act as self‐developing positive electron beam resists with electron‐beam sensitivities of ∼100–200 μC/cm2. For the OTS monolayer on a silicon native oxide, atomic force microscopy (AFM) images of the exposed layer before etching demonstrate the removal of all or part of the layer upon electron‐beam exposure. Features as small as 25 nm were resolvable in a 50 nm period grating. A resist contrast curve for OTS was obtained from AFM depth measurements as a function of dose. An ammonium hydroxide water etch was used to transfer patterns into the GaAs to a depth of at least 30 nm and buffered HF was used for pattern transfer into the SiO2 to a depth of at least 50 nm.

109 citations


Journal ArticleDOI
TL;DR: In this paper, a base layer technique is described that makes it possible to fabricate lower numerical aperture lenses in resist, com-pared with microlenses on glass substrates, in particular on lenses with numerical apertures ranging from 0.1 to 0.3.
Abstract: . We report on the fabrication of novel refractive microlens ar- rays in photoresists, in particular on lenses with numerical apertures ranging from 0.1 to 0.3. A base layer technique is described that makesit possible to fabricate lower numerical aperture lenses in resist, com-pared with microlenses on glass substrates. The wave aberrations weremeasured in a Mach-Zehnder interferometer. Diffraction-limited perfor-mance was achieved for a numerical aperture of 0.2 and a lens diameter of 270 jtm. Subject terms: micro/ens arrays; numerical apertures; Mach-Zehnder interferom-eter.Optical Engineering 32(6), 1322-1324 (June 1993). the substrate is coated with a 1-rim-thick layer ofresist usinga spin coater. Shipley AZ 1518 showed the best results. After30 mm at 160°C the resist is polymerized. The base layer isnow inert against UV exposure.Next, the base layer is covered with a thicklayer of positivephotoresist (Shipley AZ 4620 A). For a fabricated lens witha diameter of 272 im and a focal length of 666 pm, a layerof 1 1 im of positive resist was necessary. After prebakingin a convection oven at 90°C for 30 mm, the prepared sub-strate is exposed through a binary chrome-glass mask usinga UV lamp. After development, an array of cylindrical pho-toresist islands in the transparent regions of the mask is ob-tamed. The focal length of the lens depends on the thicknessof this layer as well as on the diameter of the resist cylinders.The final fabrication process consists of melting the cyl-inders on a hot plate at a temperature of 160°C, for 6 mm.At temperatures above 110°C the resist melts and polymerizescompletely. Because of the base layer below the resist cyl-inders, the critical angle becomes smaller than on the "na-ked" glass substrate. The surface tension pulls the meltedcylinder into a spherical lens with an identical volume. Ascheme of the fabrication process is shown in Fig. 2.

98 citations


Journal ArticleDOI
TL;DR: In this paper, a 3:7 cellosolve:methanol mixture was used for lift-off and etching of poly(methylmethacrylate) resist on solid semiconductor substrates.
Abstract: Sub‐10 nm structures were fabricated by lift‐off and by etching following electron‐beam exposure of poly(methylmethacrylate) (PMMA) resist on solid semiconductor substrates. Electron beam lithography at 80 kV with a beam diameter smaller than 5 nm was used to expose PMMA resist on either Si or GaAs substrates. The exposed resist was developed with a 3:7 cellosolve:methanol mixture in an ultrasonic bath for 5 s followed by rinsing in IPA and blown dry with pure nitrogen. Ultrasonic agitation during development was found to be essential for forming sub‐10 nm structures in PMMA. The patterned PMMA resist was used either as a lift‐off mask or an etching mask and successful transfer of the pattern to the substrates was achieved. For lift‐off an ionized beam deposition method, which gives smaller grain size and better adhesion of the metal film to the substrate, was used to deposit a layer of AuPd. Metal dots with sub‐10 nm diam and metal structures with sub‐10 nm gaps were fabricated. For sub‐10 nm etched structures reactive ion etching was used to transfer either the PMMA pattern or the lift‐off metal pattern to either Si or GaAs substrates. Etched lines and pillars with dimensions smaller than 10 nm were obtained.

95 citations


Journal ArticleDOI
TL;DR: In this article, the origin of ultra small edge roughness in delineated resist patterns was investigated from the viewpoint of molecular structures of the base polymers of the resists, and it was shown that nano-drone roughness reflects the molecular characteristics of the polyvinylphenol-based resist.
Abstract: The origin of ultra small edge roughness in delineated resist patterns (nano edge roughness) is investigated from the viewpoint of molecular structures of the base polymers of the resists. In this article, conventional two-component negative-type electron beam resists are studied to clarify the correlation of the nano edge roughness with base polymers. The base polymers are cresol novolak and polyvinylphenol mixed with the same concentrations of photoactive azide compound. The weight-average molecular weight (Mw) and polydispersity (Mw/Mn) of the base resins are controlled. Nanometer feature microscopic surface characteristics obtained with an atomic force microscope (AFM) show that the cresol novolak-based resist exhibits a rougher surface than the polyvinylphenol-based one. Nano edge roughness can be suppressed by using base resins with lower Mw and Mw/Mn, suggesting that nano edge roughness reflects the molecular characteristics of the base polymers. There is nanometer level swelling in resist patterns (nano swelling) in polyvinylphenol-based resist. These results suggest that the structures of the base polymers and the interaction with developers affect the nano edge roughness.

88 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigate the origin of the edge roughness of a chemical amplification resist in connection with acid diffusion during the post-exposure bake process and show that the polymer structures of the base resins cause nano-edge roughness, which cannot be neglected in nanofabrication.
Abstract: Ultrasmall edge roughness in delineated patterns (nano edge roughness) is investigated in nanostructures made of negative‐type electron beam polymer resists by atomic force microscope measurements. Very narrow isolated lines 10–20 nm wide are fabricated with a finely focused electron beam provided by a scanning electron microscope. A chemical amplification novolak resin‐based resist shows nano edge roughness which cannot be neglected in nanofabrication. To investigate the origin of the roughness, conventional two‐component resist systems are microscopically compared. An azide polyvinylphenol‐based resist and an azide novolak resin‐based resist are used. The novolak resin‐based resist exhibits a rougher surface than the polyvinylphenol‐based one. This result suggests that the polymer structures of the base resins cause nano edge roughness of a chemical amplification resist in connection with the acid diffusion during the post‐exposure bake process.

87 citations


Journal ArticleDOI
TL;DR: In this paper, a 50 nm thick double layer of low and high molecular weight polymethylmethacrylate resist was exposed with an 80 kV electron beam of diameter smaller than 5 nm and the resist was developed in 3:7 cellusolve: methanol with ultrasonic agitation during development.
Abstract: We report the fabrication of high aspect ratio, sub‐10 nm size, structures in silicon without involving any wet chemical etching. A 50 nm thick double layer of low and high molecular weight polymethylmethacrylate resist was exposed with an 80 kV electron beam of diameter smaller than 5 nm. After exposure the resist was developed in 3:7 cellusolve: methanol with ultrasonic agitation during development. A 5 nm thick AuPd film was deposited by ionized beam evaporation and a metal pattern was obtained by liftoff. Sub‐10 nm AuPd dots were recorded with a scanning electron microscope. The AuPd pattern was then used as a mask on the Si substrate which was etched with reactive ion etching. Silicon nanocolumns with diameters ranging from 5 to 7 nm and an aspect ratio of height to diameter of about 7:1 were obtained.

86 citations


Journal ArticleDOI
TL;DR: In this paper, a line and dot pattern is produced by etching the sensitized resist. Butler et al. used a very small diameter, 10 to 20 nm, beam of electrons to sensitize a 100-nm thick layer of electron resist.
Abstract: A method of writing very high frequency line and dot pattems, in excess of 10,000 lines/mm, is described. This method uses a very small diameter, 10 to 20 nm, beam of electrons to sensitize a 100-nm thick layer of electron resist. The line and dot patterns are produced by etching the sensitized resist. Moire fringe patterns occur when the line arrays are observed in the scanning electron microscope. Moire fringes with excellent contrast have been produced at magnifications as high as 1900x. This capability permits e-beam moire to be employed in micromechanics. Examples of line arrays, dot arrays and moire fringe patterns on a brass disk and on a tensile specimen fabricated from glass-fiber-reinforced plastic are demonstrated to introduce the possibilities for micromechanics applications.

Journal ArticleDOI
TL;DR: A new class of water soluble conducting polyanilines has been developed by oxidatively polymerizing aniline monomers on a template such as a polymeric acid as discussed by the authors, which can be applied as removable discharge layers for electron-beam lithography and for mask inspection by scanning electron microscopy.
Abstract: A new class of water soluble conducting polyanilines has been developed This is accomplished by oxidatively polymerizing aniline monomers on a template such as a polymeric acid The resulting polyanilines readily dissolve in water These materials can be applied as removable discharge layers for electron‐beam lithography and for mask inspection by scanning electron microscopy They can be spin‐applied directly on top of resists without any interfacial problems Image distortion as a result of charging during resist exposure is not observed with these materials After exposure the polyaniline is readily and cleanly removed during the resist develop By incorporating cross‐linkable functionality on the polyaniline backbone, water soluble polyanilines that are radiation curable are attained Upon irradiation these materials cross‐link and become insoluble and thus can be utilized as permanent conducting coatings for electrostatic discharge applications In addition, the cross‐linkable polyanilines can be us

Journal ArticleDOI
TL;DR: The use of focused ion beams for fine pattern writing was examined in this paper, where it was shown that the ability to write original patterns at 0.1 µm and below is a serious candidate for future fine pattern rewriting.
Abstract: Lithography for microelectronics, that is, the exposure and development of resist, is already being carried out in research laboratories at dimensions well below 0.1 μm. In production the minimum dimensions are likely to approach 0.1 μm before the end of the decade. This review will examine the use of focused ion beams for ultrafine lithography. Minimum dimensions down to 0.015 μm have been reported as well as exposure of 0.25 μm thick resist with o.05 μm linewidth for the making of X-ray lithography masks. At this time there are only two techniques for writing original patterns (as opposed to replicating them) at 0.1 μm and below; electron beams and ion beams. Electron beams are at a mature state of development and have advantages in absence of shot noise and in fast deflection capability. Ion beams on the other hand have demonstrated absence of proximity effect and high resist sensitivity, i.e. potentially faster writing speed. The development of the gas field ion source promises hundredfold increase in current density of light ions (H2+, He …) in the beam focal spot. In addition, these light ion beams at high energy can be deflected at the speeds needed for lithography. Thus focused ion beam lithography is a serious candidate for future fine pattern writing.

Patent
14 Oct 1993
TL;DR: In this paper, an electron-beam lithography apparatus and method, including an electron source with a mask or photocathode for generating a patterned electron beam; an electron sensitive resist layer; a conductive plate with a slit, located between the electron source and the resist layer, with the patterning electron beam passing only through the slit.
Abstract: An electron-beam lithography apparatus and method, including an electron source with a mask or photocathode for generating a patterned electron beam; an electron-sensitive resist layer; a conductive plate with a slit, located between the electron source and the resist layer, with the patterned electron beam passing only through the slit; an electric field between the electron source and the conductive plate to accelerate electrons, with the conductive plate causing the electric field between the plate and the resist to be substantially zero; a magnetic field between the electron source and the resist, to focus electrons on the resist; and alignment device for synchronously scanning the mask or photocathode and the resist at the same velocity relative to the slit and to the electron source, and at zero velocity relative to each other, so that substantially all of the pattern of the patterned electron beam is imaged on the resist without substantial change in size.

Journal ArticleDOI
TL;DR: In this article, the main characteristics of the electrodeposition process and specific properties of negative polyimide and positive photoresists are discussed and two realizations, an on-chip high-density array of electromagnets developed for high-performance printing heads, and a micromachined microphone, demonstrate that not only 3D electroplated microstructures are achievable but also the integration of electronics is possible on the same silicon substrate.
Abstract: Metal electrodeposition combined with resist micropatterning techniques provides a powerful tool for the fabrication of thick metallic microstructures. This paper discusses the main characteristics of the electrodeposition process and describes the specific properties of negative polyimide and positive photoresists. The innovative use of this technique is illustrated by the presentation of two realizations, an on-chip high-density array of electromagnets developed for high-performance printing heads, and a micromachined microphone. These realizations demonstrate that not only the real 3D electroplated microstructures are achievable but also the integration of electronics is possible on the same silicon substrate.

MonographDOI
23 Nov 1993
TL;DR: In this paper, the authors proposed a new mechanism for Chemically Amplified Lithographic Imaging using Positive Resist based on Silylated Polyhydroxystyrene for KrF Excimer Laser Lithography.
Abstract: Chemical Amplification Mechanisms for Microlithography Synthesis of 4-(tert-Butoxycarbonyl)-2,6-dinitrobenzyl Tosylate: A Potential Generator and Dissolution Inhibitor Solubilizable through Chemical Amplification Chemically Amplified Deep-UV Photoresists Based on Acetal-Protected Poly(vinylphenols) Novel Analytic Method of Photoinduced Acid Generation and Evidence of Photosensitization via Matrix Resin Acid-Catalyzed Dehydration: A New Mechanism for Chemically Amplified Lithographic Imaging An Alkaline-Developable Positive Resist Based on Silylated Polyhydroxystyrene for KrF Excimer Laser Lithography A Test for Correlation between Residual Solvent and Rates of N-Methylpyrrolidone Absorption by Polymer Films Dissolution Rates of Copolymers Based on 4-Hydroxystyrene and Styrene Synthesis and Polymerization of N-(tert-Butoxy)maleimide and Application of Its Polymers as a Chemical Amplification Resist Acid-Sensitive Pyrimidine Polymers for Chemical Amplification Resists Methacrylate Terpolymer Approach in the Design of a Family of Chemically Amplified Positive Resists Surface-Imaging Resists Using Photogenerated Acid-Catalyzed SiO[2 Formation by Chemical Vapor Deposition Polysilphenylenesiloxane Resist with Three-Dimensional Structure Top-Surface Imaging Using Selective Electroless Metallization of Patterned Monolayer Films Langmuir-Blodgett Deposition To Evaluate Dissolution Behavior of Multicomponent Resists Photochemical Control of a Morphology and Solubility Transformation in Poly(vinyl alcohol) Films Induced by Interfacial Contact with Siloxanes and Phenol-Formaldehyde Polymeric Photoresists Advances in the Chemistry of Resists for Ionizing Radiation Out-of-Plane Expansion Measurements in Polyimide Films Radiation-Induced Modifications of Allylamino-Substituted Polyphosphazenes Synthesis of Perfluorinated Polyimides for Optical Applications Charged Species in *s-Conjugated Polysilanes as Studied by Absorption Spectroscopy with Low-Temperature Matrices Acid-Sensitive Phenol-Formaldehyde Polymeric Resists Superiority of Bis(perfluorophenyl) Azides over Nonfluorinated Analogues as Cross-Linkers in Polystyrene-Based Deep-UV Resists New Photoresponsive Polymers Bearing Norbornadiene Moiety Synthesis by Selective Cationic Polymerization of 2-(3-Phenyl-2,5-norbornadiene-2-carbonyloxy)ethyl Vinyl Ether and Photochemical Reaction of the Resulting Polymers Photoinitiated Thermolysis of Poly(5-norbornene 2, 3-dicarboxylates): A Way to Polyconjugated Systems and Photoresists Recent Progress of the Application of Polyimides to Microelectronics Base-Catalyzed Cyclization of ortho-Aromatic Amide Aklyl Esters: A Novel Approach to Chemical Imidization Base-Catalyzed Photosensitive Polyimide Novel Cross-Linking Reagents Based on 3,3-Dimethyl-1-phenylenetriazene Preparation of Novel Photosensitive Polyimide Systems via Long-Lived Active Intermediates Photoregulation of Liquid-Crystalline Orientation by Anisotropic Photochromism of Surface Azobenzenes Factors Affecting the Stability of Polypyrrole Films at Higher Temperatures Intrinsic and Thermal Stress in Polyimide Thin Films Fluorinated, Soluble Polyimides with High-Glass-Transition Temperatures Based on a New, Rigid, Pentacyclic Dianhydride: 12,14-Diphenyl-12,14-bis(trifluoromethyl )-12H,14H-5,7-dioxapentacene-2,3,9,10-tetracarboxylic Dianhydride Processable Fluorinated Acrylic Resins with Low Dielectric Constants Enhanced Processing of Poly(tetrafluoroethylene) for Microelectronics Applications Fluorinated Poly(arylene ethers) with Low Dielectric Constants Microstructural Characterization of Thin Polyimide Films by Positron Lifetime Spectroscopy Synthesis and Characterization of New Poly(arylene ether oxadiazoles)

Patent
Burn Jeng Lin1
21 Sep 1993
TL;DR: In this article, a spin coating of resist on a semiconductor wafer is done in a controlled chamber, starting with introducing a resist solvent vapor into the chamber from a nozzle, applying the resist by spraying a very thin layer of the resist material, monitoring and adjusting the resist thickness during spinning in vapor, and then removing solvent from the chamber.
Abstract: Spin coating of resist on a semiconductor wafer is done in a controlled chamber, starting with introducing a resist solvent vapor into the chamber from a nozzle, applying the resist by spraying a very thin layer of the resist material, monitoring and adjusting the resist thickness during spinning in vapor, and then removing solvent from the chamber. The result is a saving in resist material and enhanced coating uniformity.

Patent
04 Aug 1993
TL;DR: A reflection preventing film for forming a resist pattern and a process for forming the resist pattern using the film is described in this paper, which comprises a copolymer was copolymized of monomers which comprise at least one unsaturated carboxylic acid monomer, at least an epoxy group-containing unsaturated monomer and at least a cinnamoylphenyl group containing unsaturated polygonal monomer.
Abstract: A reflection preventing film for forming a resist pattern and a process for forming the resist pattern using the film The film comprises a copolymer was copolymerized of monomers which comprise at least one unsaturated carboxylic acid monomer, at least one epoxy group-containing unsaturated monomer, and at least one cinnamoylphenyl group-containing unsaturated monomer The reflection preventing film exhibits a high halation preventing effect, involves no sublimation of radiation absorbing components contained therein, is free from occurrence of intermixing, possesses excellent heat resistance, exhibits a superb dry etching performance and storage stability, and produces resist patterns with excellent resolution and precision The resist pattern forming process comprises forming the reflection preventing film on a substrate, forming a resist coating film on said reflection preventing film, irradiating the resist film with a radiation, and developing the resist coating film

Patent
12 Mar 1993
TL;DR: In this paper, a pattern of resist is applied to a conductive mandrel and a patterned layer is electroformed onto the exposed surface of the mandrel such that the layer corresponds to the exposed orifices of the pattern.
Abstract: To prepare a screen printing stencil (9), a pattern of resist (10), having a complementary design to the final screen printing stencil (9), is applied to a conductive mandrel (8). A patterned layer (11) is electroformed onto the exposed surface of the mandrel (8) such that the layer corresponds to the exposed orifices (12) of the pattern of resist (10). The resulting screen printing stencil (9) preferably has raised edges (13) surrounding orifices (12). The raised edges (13) are placed against a surface of a substrate (15) to be printed in use of the stencil (9). The screen printing stencil (9) can be used for printing substrates in the electronic substrate fabrication and electronic assembly industries.

Patent
03 Sep 1993
TL;DR: In this article, the authors proposed a method to realize a part high enough in flatness even if it is not uniform in wiring density by a method wherein a resist film was applied onto an interlayer insulating film by spin-coating, the resist film is etched until a part of the inter layer insulating material was exposed, and then the interlayer is etched.
Abstract: PURPOSE:To realize a part high enough in flatness even if it is not uniform in wiring density by a method wherein a resist film is applied onto an interlayer insulating film by spin-coating, the resist film is etched until a part of the interlayer insulating film is exposed, and then the interlayer insulating film is etched. CONSTITUTION:A silicon dioxide film 102 is deposited on a silicon substrate 101, and then a metal wiring 103 and a silicon dioxide interlayer insulating film 104 are successively formed. Then, photoresist 105 is applied by spin- coating, and then the photoresist 105 is etched until the silicon dioxide interlayer insulating film 104 is exposed. Etching conditions are charmed at the time when the silicon dioxide interlayer insulating film 104 is exposed, and the silicon dioxide interlayer insulating film 104 is selectively etched. By this setup, a semiconductor device of this design can be flattened independent of the line, space, and wiring density of a wiring pattern, it can be enhanced in degree of freedom of design. A projection can be etched in a self-aligned manner, and an interlayer insulating film can be thinned. Therefore, a time required for forming a film can be shortened.

Journal ArticleDOI
TL;DR: In this article, it was shown that a thin film of SiO2 can be directly reduced to Si under electron beam irradiation and the application of this effect to the fabrication of nanometer-sized Si dots and wires is demonstrated.
Abstract: We have discovered that a thin film of SiO2 can be directly reduced to Si under electron beam irradiation. The application of this effect to the fabrication of nanometer‐sized Si dots and wires is demonstrated. In particular, if SiO2 is irradiated with a high intensity 100 keV electron beam of nanometer scale, then a column of Si is formed which can be as small as 2 nm in diameter. If the beam is moved in a straight line, then a very thin wire of Si is formed. These columns and wires are formed directly under electron irradiation with a dose of ≥3×109 C m−2 and no resists or chemical development are required.

Journal ArticleDOI
TL;DR: An efficient CAD pattern processing algorithm based on dose modulation technique is proposed for proximity effect correction calculation in electron beam (EB) lithography, which is indispensable to the accurate proximity correction for next-generation high-density VLSIs.
Abstract: An efficient CAD pattern processing algorithm based on dose modulation technique is proposed for proximity effect correction calculation in electron beam (EB) lithography. The algorithm resolves a costly and lengthy computation during the pattern processing. First, the original pattern data with multiple-level cell hierarchy is reduced to pattern data with two-level cell hierarchy. Then, a zoning algorithm with dual-frame technique is introduced for realizing the hierarchical proximity correction calculation. Here, the zone is a region of pattern assembly to be proximity-corrected and the frame is a pattern reference region to incorporate the backscattering effects of electrons exposed on the frame into the zone data. The algorithm is applied to typical design layers of 64-Mb DRAM pattern data. The final EB data volume is greatly compacted by a factor of 22-150 compared with a conventional hierarchy-flattened method. The hierarchical approach is indispensable to the accurate proximity correction for next-generation high-density VLSIs. >

Patent
Long-Shen Fan1, Hans H. Zappe1
24 Aug 1993
TL;DR: In this article, a method based on a sacrificial system was proposed for the fabrication of a free-standing miniaturized structure in a range of about 10 to 20 µm thick.
Abstract: In the fabrication of a free-standing miniaturized structure in a range of about 10 to 20 µm thick, a method based on a sacrificial system includes the steps of selecting a substrate material, depositing on the substrate material a sacrificial layer 58 of material and patterning the sacrificial layer to define a shape. A photoresist layer 62 of material is deposited on the sacrificial layer and patterned by contrast-enhanced photolithography to form a photoresist mould. Upon the mould there is plated a metallic layer 68 of material. The electroplated structure conforms to the resist profile and can have a thickness many times that of conventional polysilicon microstructures. The photoresist mould and the sacrificial layer are thereafter dissolved using etchants to form a free standing metallic structure in a range of about 10 to 20 µm thick, with vertical to lateral aspect ratios of 9:1 to 10:1 or more.

Patent
Anthony E. Novembre1
16 Sep 1993
TL;DR: The sensitivity and dry etching pattern control of chemically amplified resists used in the production of devices such as electronic devices is substantially enhanced while image quality is improved through use of a specific expedient as discussed by the authors.
Abstract: The sensitivity and dry etching pattern control of chemically amplified resists used in the production of devices such as electronic devices is substantially enhanced while image quality is improved through use of a specific expedient. In particular, the resist material after coating on the device substrate, is treated, e.g., heated to sufficiently high temperatures, to remove a portion of the protective groups on the polymeric component of the resist. Generally, when removal up to approximately 90% of the protective groups for substituents such as t-butoxycarboxyl is effected through this procedure, sensitivities as good as 10 mJ/cm2 for an X-ray exposure (λ=14Å) have been achieved, and both the image quality and dry etching pattern control are improved.

Proceedings ArticleDOI
08 Aug 1993
TL;DR: In this paper, the applicability of i-line phase shifting lithography to the production of application specific ICs (ASICs) was investigated, using an I-line stepper with a numerical aperture of 0.48.
Abstract: Phase shifting masks for real circuits have been investigated extensively only for DRAMs. In this paper, we report on the applicability of i-line phase shifting lithography to the production of application specific ICs (ASICs). The performance of several phase shift strategies is compared, using an i-line stepper with a numerical aperture of 0.48. Data preparation and mask technology considerations are taken into account. Emphasis is placed on the two most critical levels: poly gate and contact window. Results on poly topography are shown. For the poly level, the frequency doubling alternating shifter strategy in combination with a positive resist seems to be capable of printing features down to 0.35 micrometers CD, but the development of automatic phase shift level generation software is still in a preliminary phase. Edge contrast enhancement strategies in combination with a negative resist are considerably simpler, in particular the halftone PSM strategy. These strategies are also very useful in combination with a positive resist for the contact level, where a doubling of the process latitudes was obtained.

Patent
22 Dec 1993
TL;DR: In this article, a process for etching aluminum from a substrate, where portions of the aluminum are protected by a resist material, is described, and a process gas comprising HCl, Cl-containing etchant and N₂ is introduced in the chamber.
Abstract: A process for etching aluminum from a substrate, where portions of the aluminum are protected by a resist material, is described. The substrate is placed into a chamber and a process gas comprising HCl, Cl-containing etchant and N₂ is introduced in the chamber. A plasma is generated in the chamber to generate from the process gas an etch gas that etches aluminum from the substrate at fast rates, with good selectivity, reduced profile microloading, and substantially only anisotropic etching.

Patent
29 Dec 1993
TL;DR: In this paper, a method of determining an optimum condition of an anti-reflective layer upon forming a resist pattern by exposure with a monochromatic light, forms the anti reflective layer with these conditions, and forms the resist pattern using a novel antireflective surface.
Abstract: A method of determining an optimum condition of an anti-reflective layer upon forming a resist pattern by exposure with a monochromatic light, forms the anti-reflective layer with these conditions and forms a resist pattern using a novel anti-reflective layer. The method comprises (I) forming an equi-contour line for the amount of absorbed light regarding a photoresist of an optional film thickness using the optical condition of the anti-reflective layer as a parameter, (II) conducting the same procedure as in (I) above for a plurality of resist film thicknesses, (III) finding a common region for the amount of absorbed light with respect to each of the traces obtained, thereby determining the optical condition for the anti-reflective layer, (IV) applying same procedures as described above while changing the condition of the anti-reflective layer, thereby determining the optical condition for the anti-reflective layer, and (V) determining the optimum optical condition such as the kind and the thickness of the anti-reflective layer under a certain condition of the anti-reflective layer.

Patent
16 Nov 1993
TL;DR: In this article, the authors proposed to prevent tilting of a resist pattern in the step of developing a fine resist pattern by adding surfactant to at least one of developer and rinsing solution, and lowering its surface tension of the liquid.
Abstract: PURPOSE:To prevent tilting of a resist pattern in the step of developing a fine resist pattern by adding surfactant to at least one of developer and rinsing solution, and lowering its surface tension of the liquid. CONSTITUTION:A semiconductor wafer 1 coated with resist 2 is exposed, developed and then rinsed by using rinsing solution 3 added with surfactant or preferably fluorine surfactant. As the fluorine surfactant, 5-100ppm of ammonium perfluoroalkyl sulfonate salt, perfluoroalkylpolyethoxyethylene ethanol, etc., is added to the solution. In this case, an upper part of the resist pattern 2 is inhibited to be exposed from the developer after the developing. Thus, tilting of the pattern is remarkably reduced.

Journal ArticleDOI
TL;DR: Freeze-drying, which is a surface-tension-free process, was applied in resist processing to avoid resist pattern collapse and the mechanism was investigated in this paper, where tert-butyl alcohol was used to prevent resist patterns from collapsing.
Abstract: Freeze-drying, which is a surface-tension-free process, was applied in resist processing to avoid resist pattern collapse and the mechanism was investigated. The freeze-drying, in which tert-butylalcohol was used, prevents resist patterns from collapsing. The driving force of collapse is surface tension of the rinsing liquid.

Patent
22 Sep 1993
TL;DR: In this paper, the diamond layer 3 is patterned by known techniques including laser ablation or using a silicon dioxide mask to resist deposition of diamond material, which may take place after formation of microelectronic devices in dies in the silicon layer, after a device water is bonded to a diamond layer but before formation of the devices, prior to joining the device wafer to the diamond layers.
Abstract: Silicon on diamond die 5 are separated by patterning the diamond layer 3 and sawing the silicon layer 4. The diamond layer 3 is patterned by known techniques including laser ablation or using a silicon dioxide mask to resist deposition of diamond material. Patterning may take place after formation of microelectronic devices in dies in the silicon layer, after a device water is bonded to a diamond layer but before formation of the devices, prior to joining the device wafer to the diamond layer.