scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2002"


Journal ArticleDOI
05 Jul 2002-Science
TL;DR: This work demonstrated sequence-specific molecular lithography on substrate DNA molecules by harnessing homologous recombination by RecA protein to patterned the coating of DNA with metal, localized labeled molecular objects and grew metal islands on specific sites along the DNA substrate, and generated molecularly accurate stable DNA junctions for patterning theDNA substrate connectivity.
Abstract: Recent advances in the realization of individual molecular-scale electronic devices emphasize the need for novel tools and concepts capable of assembling such devices into large-scale functional circuits. We demonstrated sequence-specific molecular lithography on substrate DNA molecules by harnessing homologous recombination by RecA protein. In a sequence-specific manner, we patterned the coating of DNA with metal, localized labeled molecular objects and grew metal islands on specific sites along the DNA substrate, and generated molecularly accurate stable DNA junctions for patterning the DNA substrate connectivity. In our molecular lithography, the information encoded in the DNA molecules replaces the masks used in conventional microelectronics, and the RecA protein serves as the resist. The molecular lithography works with high resolution over a broad range of length scales from nanometers to many micrometers.

577 citations


Patent
25 Jun 2002
TL;DR: A resist composition comprising a polymer containing vinyl sulfonate units having fluorinated hydrophilic groups as a base resin has excellent transparency, substrate adhesion and developer penetrability as well as plasma etching resistance, and is suited for lithographic microprocessing as discussed by the authors.
Abstract: A resist composition comprising a polymer containing vinyl sulfonate units having fluorinated hydrophilic groups as a base resin has excellent transparency, substrate adhesion and developer penetrability as well as plasma etching resistance, and is suited for lithographic microprocessing.

219 citations


Journal ArticleDOI
TL;DR: In this article, a nanomolding process for producing 55nm-diameter magnetic islands over 3 cm-wide areas is described, where a master pattern of SiO2 pillars is used to form a polymeric mold, which is in turn used to mold a photopolymer resist film.
Abstract: A nanomolding process for producing 55-nm-diameter magnetic islands over 3-cm-wide areas is described. A master pattern of SiO2 pillars is used to form a polymeric mold, which is in turn used to mold a photopolymer resist film. This latter film is used as a resist for etching SiO2, yielding a pattern of pillars. Finally, an 11-nm-CoPt multilayer is deposited. Magnetic force microscopy reveals that the film on top of each pillar is a magnetically isolated single domain that switches independently.

153 citations


Journal ArticleDOI
TL;DR: In this paper, negative photoresists (SU-8 and photosensitive polyimide) were fabricated by the pyrolysis of a closed quartz tube furnace in a forming gas (95% N 2, 5% H 2 ).
Abstract: Carbon structures were fabricated by the pyrolysis of photopatterned negative photoresists (SU-8 and photosensitive polyimide) on silicon and fused silica wafers. Results here are compared with those of positive resists published earlier by this group. Negative resist films need exposure to ultraviolet light prior to pyrolysis to produce carbon films. The pyrolysis was carried out in a closed quartz tube furnace in a forming gas (95% N 2 , 5% H 2 ) atmosphere. The pyrolysis process was characterized using a combination of thermogravimetric analysis and differential thermal analysis. The pyrolysis of SU-8 involved gas evolution in a narower range of temperature than polyimide, The adhesion of the carbon film was found to depend on the resist, the substrate, and the heating cycle used. The carbon structures were characterized in terms of their shrinkage during the pyrolysis, the resistivity, the degree of crystallinity and the peak separation in cyclic voltammetry. Carbons derived from pyrolysis of negative resists showed higher resistivity, vertical shrinkage, and peak-to-peak separation voltage than positive resists. Transmission electron microscope results showed a distinct lack of crystallinity even after pyrolysis at 1100°C, unlike the positive resist derived carbon.

148 citations


Patent
10 Oct 2002
TL;DR: In this article, a resist material exhibiting such properties as the line width of a resist pattern shrinks through irradiation with an electron beam is used, and the level and exposure time of irradiation energy and shrinkage of line width are determined depending on a desired shrinkage width.
Abstract: PROBLEM TO BE SOLVED: To provide a process for forming a resist pattern having a trimming step of novel arrangement. SOLUTION: A resist film 16 is deposited on an underlying film 14 deposited on a wafer 12. A resist material exhibiting such properties as the line width of a resist pattern shrinks through irradiation with an electron beam is used. The resist film is subjected to exposure processing according to a conventional method and then developed to form a resist pattern 18 of a specified line width. Subsequently, the resist pattern 18 is irradiated with an electron beam at an irradiation energy of 100eV-500keV to shrink the line width of the resist pattern 18 thus forming a resist pattern 20 having a shrunk line width. Relation between the level and exposure time of irradiation energy and the shrinkage of line width is established previously, and the level and exposure time of irradiation energy are determined depending on a desired shrinkage width. Finally, the underlying film 14 is etched using the resist pattern 20 having a shrunk line width as a mask thus forming an underlying film pattern 22. COPYRIGHT: (C)2004,JPO

148 citations


Patent
07 Nov 2002
TL;DR: In this paper, a high resolution and high data rate spot grid array printer system is provided, wherein an image is formed by scanning spot-grid array of optical beams across a substrate layered with a resist.
Abstract: A high resolution and high data rate spot grid array printer system is provided, wherein an image is formed by scanning spot-grid array of optical beams across a substrate layered with a resist. High resolution is achieved by apodizing the optical beams to provide a narrower main lobe. Unwanted recordation of side lobes upon the substrate is prevented by assuring that the side lobes do not include energy above the threshold of the resist, using a memoryless resist, and by using a slanted and interleaved scan pattern adapted for use with the spot-grid array pattern and the memoryless characteristic of the resist.

144 citations


Journal ArticleDOI
TL;DR: Wang et al. as mentioned in this paper employed glycerol as an index match material for bridging air gap between photomask and photoresist during exposure, which greatly increased the sidewall straightness of high-aspect-ratio resist structures.
Abstract: This paper reports a novel way to compensate the air gap between photomask and photoresist for eliminating UV light diffraction on photoresist, which greatly increases the sidewall straightness of high-aspect-ratio resist structures. In this research, SU-8 negative tone photoresist was used for experiments, and glycerol was employed as an index match material for bridging air gap between photomask and photoresist during exposure. Results showed that a high aspect ratio wall structure of 156 μm thick and 25 μm wide had a 45% pattern width error when exposed under 100 μm air gap, while glycerol compensated process accomplished a straight resist wall without appreciable error. This method is simple and cheap to employ, compared to the usage of costly thick-photoresist-film spinner for resist planarization. Numerical simulation on the diffraction effect upon the structure wall has also been conducted. The calculated and experiment wall profiles showed similarity in trend.

130 citations


Journal ArticleDOI
26 Oct 2002-Langmuir
TL;DR: In microlens projection lithography, an array of microlenses (diameter d = 1−1000 μm) reduces a common, centimeter-scale pattern in an illuminated mask to a corresponding pattern of micro-scale images in its image plane as mentioned in this paper.
Abstract: This paper demonstrates the use of microlens projection lithography using gray-scale masks to fabricate arrays of microstructures in photoresist In microlens projection lithography, an array of microlenses (diameter d = 1−1000 μm) reduces a common, centimeter-scale pattern in an illuminated mask to a corresponding pattern of micrometer-scale images in its image plane The pattern of intensity projected by the array of microlenses depends on the shape and gray-level distribution of the pattern on the illuminated mask and on the shape and pattern of the lenses The distribution of intensity in the microimages could be adjusted using gray-scale masks After the recording of this intensity distribution in layers of photoresist and developing, the developed resist showed arrays of 3D microstructures over areas larger than 10 cm2 We used these arrays of 3D microstructures as masters and cast transparent elastomer onto them to generate complementary replicas For a specific microlens array and a fixed light so

129 citations


Journal ArticleDOI
M. Bender1, M. Otto1, B. Hadam1, Bernd Spangenberg1, Heinrich Kurz1 
TL;DR: In this article, the resist and mold were modified with a fluorine-based additive, which migrates to the surface during spin-on processes creating a low energy surface, and the anti-adhesion layer on the mold was characterized by electron spectroscopy for micro-analysis (ESMA).

119 citations


Journal ArticleDOI
TL;DR: In this article, an ultrasonically assisted development was used to improve resolution and line edge roughness in PMMA resist with 3:7 water/IPA developer, and the results showed improvements in sensitivity (∼40%), contrast, exposure dose latitude, roughness and resolution.

119 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used ∼120 fs laser pulses at 790 nm in an apertureless near-field optical microscope, which produces lithographic features with ∼70 nm resolution.
Abstract: Near-field two-photon optical lithography is demonstrated by using ∼120 fs laser pulses at 790 nm in an apertureless near-field optical microscope, which produces lithographic features with ∼70 nm resolution. The technique takes advantage of the field enhancement at the extremity of a metallic probe to induce nanoscale two-photon absorption and polymerization in a commercial photoresist, SU-8. Even without optimization of the resist or laser pulses, the spatial resolution of this technique is as high as λ/10, nearly a factor of 2 better than techniques based on far field two-photon lithography.

Patent
Shigenobu Maeda1
15 Nov 2002
TL;DR: In this article, a patterned resist (25 ) is formed so as to cover a low voltage operation region (A 2 ), a second LDD implantation process of implanting an impurity ion ( 14 ) by using the resist ( 25 ) as a mask, is performed over a silicon oxide film ( 6 ) thereby to form an impurate diffusion region ( 13 ) in the surface of a semiconductor substrate ( 1 ) in a high voltage operation regions (A 1 ).
Abstract: Provided are a semiconductor device that optimizes the operation characteristics such as of both an insulating gate type transistor for high voltage and an insulating gate type transistor for low voltage, and a method of manufacturing the same. Specifically, a patterned resist ( 25 ) is formed so as to cover a low voltage operation region (A 2 ), a second LDD implantation process of implanting an impurity ion ( 14 ) by using the resist ( 25 ) as a mask, is performed over a silicon oxide film ( 6 ) thereby to form an impurity diffusion region ( 13 ) in the surface of a semiconductor substrate ( 1 ) in a high voltage operation region (A 1 ). After this step, the silicon oxide film ( 6 ) in the high voltage operation region (A 1 ) contains the impurity during the second LDD implantation process whereas the silicon oxide film ( 6 ) in a low voltage operation region (A 2 ) contains no impurity. This leads to such a characteristic that in the following pre-treatment with a wet process, the silicon oxide film ( 6 ) containing the impurity in the high voltage operation region (A 1 ) is reduced in thickness, and the silicon oxide film ( 6 ) containing no impurity in the low voltage operation region (A 2 ) is not reduced in thickness.

Proceedings Article
01 Jan 2002
TL;DR: The development of simulation programs which help to determine the effectiveness of resolution enhancement techniques in achieving a given resolution at a specified wavelength are described.
Abstract: Optical lithography has been the key enabling technology for scaling down dimensions of devices on VLSI chips. While electron beam and X ray lithography techniques promise higher resolution, optical lithography remains the most economical technique for defining fine patterns on a chip. The critical dimensions in current VLSIs are typically smaller than the wavelength of commonly available optical sources. Therefore, special techniques are required to achieve such high resolution. Commonly used resolution enhancement techniques are: optical proximity correction, phase shift masking and off-axis illumination. Often, a combination of these techniques can be used to good effect. In this paper, we describe the development of simulation programs which help us determine the effectiveness of these techniques in achieving a given resolution at a specified wavelength. An aerial image simulator permits us to quantify the quality of the mask image formed using resolution enhancement techniques. A resist development simulator then determines how successfully the image can be transferred to the photoresist on wafer.

Patent
03 Sep 2002
TL;DR: In this paper, a resist structure having webs is produced from a photoresist on a substrate and then the sidewalls of the webs are selectively chemically amplified so that chemically amplified sidewall structures are obtained.
Abstract: The novel process lends itself to the production of highly resolved resist structures. A resist structure having webs is produced from a photoresist on a substrate and then the sidewalls of the webs are selectively chemically amplified so that chemically amplified sidewall structures are obtained. After the removal of the chemically unamplified sections, the amplified sidewall structures are transferred to the substrate. The process permits a resolution of structures that are not producible using the currently customary exposure wavelengths.

Patent
10 Jul 2002
TL;DR: In this paper, a positive resist composition is produced which comprises a resin ingredient (a) which has ester side chains having an acid-dissociating dissolution-inhibitive group containing a polycyclic group and has structural units derived from a (meth)acrylic ester in the main chain and which comes to have enhanced alkali solubility by the action of an acid, an acid generator ingredient (B) which generates an acid upon exposure to light and an organic solvent (C), wherein the ingredient (A) has both structural units from a methac
Abstract: PROBLEM TO BE SOLVED: To provide a chemical amplification type positive resist composition which ensures small surface roughness and line edge roughness during etching and has excellent resolution and a wide focal-depth range and to provide a method of forming a resist pattern using the same. SOLUTION: The positive resist composition is produced which comprises a resin ingredient (A) which has ester side chains having an acid-dissociating dissolution-inhibitive group containing a polycyclic group and has structural units derived from a (meth)acrylic ester in the main chain and which comes to have enhanced alkali solubility by the action of an acid, an acid generator ingredient (B) which generates an acid upon exposure to light and an organic solvent (C), wherein the ingredient (A) has both structural units derived from a methacrylic ester and structural units derived from an acrylic ester. COPYRIGHT: (C)2003,JPO

Journal ArticleDOI
Matthias Geissler1, Heinz Schmid1, Alexander Bietsch1, Bruno Michel1, Emmanuel Delamarche1 
22 Feb 2002-Langmuir
TL;DR: In this paper, the authors developed strategies based on self-assembly principles to etch substrates patterned with monolayer resists with high selectivity and etch directionality.
Abstract: We developed strategies based on self-assembly principles to etch substrates patterned with monolayer resists with high selectivity and etch directionality. Our strategies exploit the defined composition and order of these ultrathin resists and overcome their imperfections. Defects in a monolayer can be healed by additives present in an etch bath. Alternatively, large molecules that cannot diffuse through defects can be employed as etchants. It is also possible to taper structures using the competition between etching and the side-growth of a self-assembling etch barrier, nucleating from the originally patterned monolayer. The application of these concepts lets defect-sensitive monolayers become robust and versatile resists, which should promote their acceptance and use in microtechnology.

Journal ArticleDOI
TL;DR: In this paper, a method to completely remove crosslinked SU-8 without remnants of the resist or destroying the electroplated microstructures was utilized, and the rotor and the stator with embedded roots were released cleanly and assembled to form a high-aspect-ratio micromotor.
Abstract: In this study, a novel method to completely remove crosslinked SU-8 without remnants of the resist or destroying the electroplated microstructures was utilized. The LIGA-like fabrication of a side-driven electrostatic micromotor was employed as an example to describe polymerized SU-8 resist removal. Using near-UV light, nickel components of the micromotor were electroplated 160 μm in a 300 μm-thick SU-8 mold. A comparison of various approaches based on a commercial remover was performed during the mold removal process. Experimental results showed that components having 1 μm-deep substructures embedded in the substrate could provide stronger structures to withstand the internal stress due to the photoresist deformation. In addition, when the height of the electroplated structure was below two-thirds of the photoresist mold thickness, the net clamping force on the resist could be effectively reduced to make the removal of SU-8 with heated remover successfully. The rotor and the stator with embedded roots were released cleanly and thereby, assembled to form a high-aspect-ratio micromotor. The technique of SU-8 removal and LIGA-like process presented herein can be applied to the fabrication of other high-powered microactuators.

Journal ArticleDOI
TL;DR: In this article, the authors have shown that it is possible to produce 10-/spl mu/m structures replicated in a 100-μm resist layer and 4/spl µ/m structure produced in a 35 µ/spl mm resist layer for 30 min. They have also shown that the results of chamber-circuit matching, pinch dynamics, and electron runaway processes are also discussed.
Abstract: Dense plasma focus (DPF) can be a powerful source of X-rays at the wavelengths useful for microlithography and micromachining depending on its working gas (Ne or Ar correspondingly) and operating parameters of the device. Experimental investigations were carried out with /spl sim/0.4-nm wavelength radiation from a specially designed medium-power soft X-ray tube with a water-cooled silver anode and highly sensitive chemically amplified resist SU-8. They have shown us that it is possible to produce 10-/spl mu/m structures replicated in 100-/spl mu/m resist layer and 4-/spl mu/m structures produced in a 35-/spl mu/m resist layer for 30 min. To decrease the time, a DPF device must be implemented for the task. Using pure argon and mixtures of argon with deuterium or krypton, we have found regimes ("hot spots," plasma pinching, and plasma compression by a "heavy shell") with appreciable soft X-ray yield. Influence on the results of chamber-circuit matching, pinch dynamics, and electron runaway processes are also discussed.

Journal ArticleDOI
06 Nov 2002
TL;DR: In this paper, the authors have demonstrated high aspect ratio pattern fabrication as high as 6.0 with 200nm in line width using nano imprint lithography, but the mold is fabricated using thin Si substrate and anisotropic wet chemical etching.
Abstract: Nano imprint lithography is an attractive fine lithographic method to obtain nano patterns by using low cost process and materials., Various applications have been demonstrated to utilize this fine method. One of the advantages of nano imprint lithography is that a wet development process is not required, which sometimes causes sticking errors by surface tension during wet development process. On the other hand, there is no fear of such defects by imprint lithography because a resist is mechanically deformed and released. We have demonstrated high aspect ratio pattern fabrication as high as 6.0 with 200nm in line width. But the mold is fabricated using thin Si substrate and anisotropic wet chemical etching, which cannot fabricate voluntary patterns by crystalline axis dependence.

Journal ArticleDOI
TL;DR: In this article, a spacer lithography technology using a sacrificial layer and a chemical vapor deposition (CVD) spacer layer has been developed, and is demonstrated to achieve sub-40 nm structures with conventional dry etching.
Abstract: A spacer lithography technology using a sacrificial layer and a chemical vapor deposition (CVD) spacer layer has been developed, and is demonstrated to achieve sub-40 nm structures with conventional dry etching. The minimumsized features are finished not by photolithography but by the CVD film thickness. Therefore the spacer lithography technology yields critical dimension variations of minimum-sized features which are much smaller than achieved by optical or e-beam lithography. It also provides a doubling of device density for a given lithography pitch. This spacer lithography technology is used to pattern silicon-fin structures for double-gate MOSFETs and CMOS FinFET results are reported. 2002 Elsevier Science Ltd. All rights reserved.

Journal ArticleDOI
TL;DR: In this article, a diamond mould was pressed into polymethylmethacrylate (PMMA) and oxygen gas reactive ion etching (RIE) to fabricate fine patterns in a diamond mold.
Abstract: Electron beam (EB) lithography using polymethylmethacrylate (PMMA) and oxygen gas reactive ion etching (RIE) were used to fabricate fine patterns in a diamond mould. To prevent charge-up during EB lithography, thin conductive polymer was spin-coated over the PMMA resist, yielding dented line patterns 2 μ m wide and 270 nm deep. The diamond mould was pressed into PMMA on a silicon substrate heated to 130, 150 and 170oC at 43.6, 65.4 and 87.2 MPa. All transferred PMMA convex line patterns were 2 μ m wide. Imprinted pattern depth increased with rising temperature and pressure. PMMA patterns on diamond were transferred by the diamond mould at 150oC and 65.4 MPa, yielding convex line patterns 2 μ m wide and 200 nm high. Direct aluminium and copper patterns were obtained using the diamond mould at room temperature and 130.8 MPa. The diamond mould is thus useful for replicating patterns on PMMA and metals.

Journal ArticleDOI
TL;DR: In this article, the authors present the results of isopropyl alcohol:water development for thick poly(methylmethacrylate) and describe the dependence of resist contrast on the temperature of the developer.
Abstract: Magnetic head fabrication for >100 Gbit/in.2 areal density requires minimum lithographic feature size <0.15 μm, with aspect ratios of 8:1–10:1. Electron-beam lithography can provide adequate resolution for research and development of magnetic heads, and at 100 kV can provide greater than 10:1 aspect ratios in 1–3 μm thick single-layer resist poly(methylmethacrylate). Poly (methylmethacrylate) (PMMA) is well known for withstanding the rigors of plating baths, but at these thicknesses requires a nonswelling, low-stress developer such as the LIGA mixture (also known as “GG Developer (U.S. Patent No. 4,393,129)”). In this work we present the results of isopropyl alcohol:water development for thick PMMA, and describe the dependence of resist contrast on the temperature of the developer. We also demonstrate the advantage of ultrasonic agitation during development. These development techniques have brought resist profiles in PMMA to the theoretical limit predicted by Monte Carlo simulations.

Journal ArticleDOI
TL;DR: In this paper, it was shown that the redeposition of partially dissolved resist during drying after development leads to feature degradation, which is likely the limiting factor in the practical resolution of final features from proximity UV printing.

Patent
07 Jun 2002
TL;DR: In this paper, a negative resist structure is described, in which a chemically fortified resist is applied to a substrate, dried, irradiated with light, x-ray, electron or ion beams, heated, developed using a aqueous-alkaline developer solution and siliconized from a liquid phase.
Abstract: A method for creating negative resist structures is described. In the method, a chemically fortified resist is applied to a substrate, dried, irradiated with light, x-ray, electron or ion beams, heated, developed using a aqueous-alkaline developer solution and siliconized from a liquid phase. The resist contains the following constituent: a polymer, whose polarity is modified by acidic action and which contains carboxylic acid anhydride groups, preferably in latent form; a compound which releases an acid as a result of thermal treatment; a photoreactive compound, from which a base is created during the irradiation with light, x-ray, electron or ion beams; a solvent; and optionally one or more additives.

Journal ArticleDOI
TL;DR: In this article, a successful approach using AFM-based nanografting was introduced to produce two-dimensional nanopatterns within self-assembled monolayer resists.
Abstract: Three-dimensional nanostructures can be constructed using scanning probe lithography in combination with selective surface reactions. This letter introduces a successful approach using AFM-based nanografting to produce two-dimensional nanopatterns within self-assembled monolayer resists. These nanopatterns serve as an anchor to construct nanostructures in the third dimension via surface reactions. In this way, the nanometer-scale 2D pattern is transferred to chemically distinct 3D nanostructures. This approach offers the advantages of high spatial precision and selectivity in pattern transfer.

Patent
29 Apr 2002
TL;DR: In this article, a method for removing polysilane from a semiconductor substrate without stripping during the manufacturing process is presented. But the method requires the substrate to be subjected to thermal or plasma/thermal oxidation.
Abstract: A method for removing polysilane from a semiconductor substrate without stripping during manufacture of a semiconductor device, comprising:a) coating a polysilane on a semiconductor substrate and coating a resist on the polysilane;b) patterning the resist with exposure and development;c) transferring the pattern from the resist to the polysilane using an etch process selective to the resist;d) stripping the resist;e) transferring the pattern from the polysilane to a hardmask using an etch selective to the hardmask;f) subjecting the polysilane to thermal or plasma/thermal oxidation to convert the polysilane to silica; andetching the substrate and stripping off the hard mask.

Journal ArticleDOI
TL;DR: In this paper, four next generation lithographic options (EUV, X-ray, EPL, IPL) are compared against four current optical technologies (i-line, DUV, 193 nm, 157 nm) for resolution capabilities based on wavelength.

Patent
11 Mar 2002
TL;DR: In this article, the authors present new high resolution resists applicable to next generation lithographies, methods of making these novel resists, and methods of using these new resists in lithographic processes to effect state-of-the-art lithographies.
Abstract: The present invention provides new high resolution resists applicable to next generation lithographies, methods of making these novel resists, and methods of using these new resists in lithographic processes to effect state-of-the-art lithographies. New nanocomposite resists comprising nanoparticles in a polymer matrix are provided in this invention. New chemically amplified resists that incorporate inorganic moieties as part of the polymer are presented herein, as are new chemically amplified resists that incorporate photoacid generating groups within the polymeric chain. Novel non-chemically amplified yet photosensitive resists, and new organic-inorganic hybrid resists are also provided herein. This invention and the embodiments described herein constitute fundamentally new architectures for high resolution resists.

Patent
10 Jul 2002
TL;DR: In this paper, a method for manufacturing of semiconductor device is provided to prevent region margin caused by concentration difference of dopants in which is at boundary region between an N well and a P well and generated by the slop of a resist layer pattern in an ion implantation.
Abstract: PURPOSE: A method for manufacturing of semiconductor device is provided to prevent region margin caused by concentration difference of dopants in which is at boundary region between an N well and a P well and generated by the slop of a resist layer pattern in an ion implantation. CONSTITUTION: An etch barrier layer is deposited on a semiconductor substrate(21). The etch barrier layer is etched by using a first resist layer pattern. A second resist layer pattern is formed on the etch barrier, A first trench is formed by etching process using the second resist layer pattern as a mask. A second and third trench(27,28) are formed by using the etch barrier as a mask.

Patent
22 Feb 2002
TL;DR: In this paper, an improved and novel method of forming a tiered structure, such as a T-gate structure, including the fabrication of a stabilized resist layer that provides for the prevention of interlayer intermixing with the deposition of subsequent resist layers, was proposed.
Abstract: An improved and novel method of forming a tiered structure, such as a T-gate structure, including the fabrication of a stabilized resist layer that provides for the prevention of interlayer intermixing with the deposition of subsequent resist layers. The method includes patterning a base resist layer to provide for an opening which will form the stem of the tiered structure and subsequently stabilizing the resist base layer without deforming the stem opening. Next, a resist stack is deposited on an uppermost surface of the stabilized resist layer. Patterning the resist stack provides for an opening on an uppermost layer or portion, and a reentrant profile in a portion of the resist stack adjacent the stabilized resist layer. Metallization and subsequent removal of the resist layers results in a tiered structure, such as a T-gate structure, formed using only low to medium molecular weight, linear polymeric materials such as those used in positive optical resists in optical lithography.