scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2003"


Journal ArticleDOI
TL;DR: In this paper, the recent development of the electron beam lithography technique is reviewed with an emphasis on fabricating devices at the nanometer scale, and future trends in this technique are discussed.
Abstract: Miniaturization is the central theme in modern fabrication technology. Many of the components used in modern products are getting smaller and smaller. In this paper, the recent development of the electron beam lithography technique is reviewed with an emphasis on fabricating devices at the nanometer scale. Because of its very short wavelength and reasonable energy density characteristics, e-beam lithography has the ability to fabricate patterns having nanometer feature sizes. As a result, many nanoscale devices have been successfully fabricated by this technique. Following an introduction of this technique, recent developments in processing, tooling, resist, and pattern controlling are separately examined and discussed. Examples of nanodevices made by several different e-beam lithographic schemes are given, to illustrate the versatility and advancement of the e-beam lithography technique. Finally, future trends in this technique are discussed.

428 citations


Patent
Masayuki Endo1, Masaru Sasago1
15 Sep 2003
TL;DR: In this article, a resist pattern is formed by selectively irradiating the resist film with exposing light while supplying water onto the resist pattern, and the pattern is developed so as to form resist pattern.
Abstract: After forming a resist film including a hygroscopic compound, pattern exposure is performed by selectively irradiating the resist film with exposing light while supplying water onto the resist film. After the pattern exposure, the resist film is developed so as to form a resist pattern.

278 citations


Journal ArticleDOI
Zhaoning Yu1, He Gao1, Wei Wu1, Haixiong Ge1, Stephen Y. Chou1 
TL;DR: In this article, the authors report on the fabrication of subwavelength antireflection structures on silicon substrates using a trilayer resist nanoimprint lithography and liftoff process.
Abstract: In this article we report on the fabrication of subwavelength antireflection structures on silicon substrates using a trilayer resist nanoimprint lithography and liftoff process. We have fabricated cone-shaped nanoscale silicon pillars with a continuous effective index gradient, which greatly enhances its antireflective performances. Our measurements show that the two-dimensional subwavelength structure effectively suppresses surface reflection over a wide spectral bandwidth and a large field of view. A reflectivity of 0.3% was measured at 632.8 nm wavelength, which is less than 1% of the flat silicon surface reflectivity.

241 citations


Patent
15 Jul 2003
TL;DR: In this article, a method of generating patterns of a pair of photomasks from a data set defining a circuit layout to be provided on a substrate includes identifying critical segments of the circuit layout on the substrate.
Abstract: A method of generating patterns of a pair of photomasks from a data set defining a circuit layout to be provided on a substrate includes identifying critical segments of the circuit layout to be provided on the substrate. Block mask patterns are generated and then legalized based on the identified critical segments. Thereafter, phase mask patterns are generated, legalized and colored. The legalized block mask patterns and the legalized phase mask patterns that have been colored define features of a block mask and an alternating phase shift mask, respectively, for use in a dual exposure method for patterning features in a resist layer of a substrate.

207 citations


Journal ArticleDOI
TL;DR: In this article, a method for depositing patterned dielectric layers with submicron features using atomic layer deposition is described, which is superior to sputtered or evaporated films in continuity, smoothness, conformality, and minimum feature size.
Abstract: We report a method for depositing patterned dielectric layers with submicron features using atomic layer deposition. The patterned films are superior to sputtered or evaporated films in continuity, smoothness, conformality, and minimum feature size. Films were deposited at 100–150 °C using several different precursors and patterned using either electron-beam or photoresist. The low deposition temperature permits uniform film growth without significant outgassing or hardbaking of resist layers. A lift-off technique presented here gives sharp step edges with edge roughness as low as ∼10 nm. We also measure dielectric constants (κ) and breakdown fields for the high-κ materials aluminum oxide (κ∼8–9), hafnium oxide (κ∼16–19), and zirconium oxide (κ∼20–29), grown under similar low temperature conditions.

203 citations


Patent
19 Jun 2003
TL;DR: In this paper, a UV nanoimprint lithography process for forming nanostructures on a substrate is described, which includes depositing a resist on the substrate and applying a predetermined pressure to the resist in a direction toward the substrate, the contacting and applying being performed at room temperature and at low pressure.
Abstract: A UV nanoimprint lithography process for forming nanostructures on a substrate. The process includes depositing a resist on a substrate; contacting a stamp having formed thereon nanostructures at areas corresponding to where nanostructures on the substrate are to be formed to an upper surface of the resist, and applying a predetermined pressure to the stamp in a direction toward the substrate, the contacting and applying being performed at room temperature and at low pressure; irradiating ultraviolet rays onto the resist; separating the stamp from the resist; and etching an upper surface of the substrate on which the resist is deposited. The stamp is an elementwise embossed stamp that consists of at least two element stamps, and grooves formed between adjacent stamps having a depth that is greater than a depth of the nanostructures formed on the element stamps.

197 citations


Patent
29 Oct 2003
TL;DR: In this article, the antireflection film material to be used for lithography contains at least a polymer compound having a repeating unit by the copolymerization expressed by general formula.
Abstract: PROBLEM TO BE SOLVED: To provide an antireflection film material having an excellent antireflection effect against exposure at short wavelength, a high etching selection ratio, that is, having a sufficiently fast etching rate with respect to a photoresist film, and having a sufficiently slow etching rate compared to the substrate to be processed, and capable of producing a perpendicular profile of a resist pattern to be formed in the photoresist film on the antireflection film. SOLUTION: The antireflection film material to be used for lithography contains at least a polymer compound having a repeating unit by the copolymerization expressed by general formula (1), or a polymer compound having a repeating unit by the copolymerization expressed by general formula (2) and a polymer compound having a repeating unit by the copolymerization expressed by general formula (3). COPYRIGHT: (C)2005,JPO&NCIPI

181 citations


Journal ArticleDOI
TL;DR: In this paper, the fabrication of arrays of sub-50-nm gold dots and line structures with deliberately designed 12−100-nm gaps is reported, which are made by initially using dip-pen nanolithography to pattern the etch resist, 16-mercaptohexadecanoic acid, on Au/Ti/SiOx/Si substrates and then using wet-chemical etching to remove the exposed gold.
Abstract: The fabrication of arrays of sub-50-nm gold dots and line structures with deliberately designed 12−100-nm gaps is reported. These structures were made by initially using dip-pen nanolithography to pattern the etch resist, 16-mercaptohexadecanoic acid, on Au/Ti/SiOx/Si substrates and then using wet-chemical etching to remove the exposed gold.

172 citations


Patent
12 Nov 2003
TL;DR: In this article, the authors proposed new nanoimprint resist and thin-film compositions for use in nano-printing lithography, which permit economical high-throughput mass production, using nano-imprint processes, of patterns having sub-200 nm and even sub-50 nm features.
Abstract: The present invention is directed to new nanoimprint resist and thin-film compositions for use in nanoimprinting lithography. The compositions of the present invention permit economical high-throughput mass production, using nanoimprint processes, of patterns having sub-200 nm, and even sub-50 nm features.

172 citations


Journal ArticleDOI
TL;DR: In this article, an extensive study of parameters pertinent to electron beam lithography with hydrogen silsesquioxane as a negative tone electron beam resist is presented, with a developer concentration of 25% tetramethyl ammonium hydroxide and a baking temperature of 90°C.
Abstract: An extensive study of parameters pertinent to electron beam lithography with hydrogen silsesquioxane as a negative tone electron beam resist is presented. With higher developer concentrations contrast and reproducibility are improved significantly at the expense of lower sensitivity. In a similar way extended delays between the baking and exposure degrade the sensitivity but increase the contrast. In contrast, at higher baking temperatures the sensitivity is improved but the contrast and reproducibility deteriorate. These results are discussed within a microscopic model. Contrast values as high as 10 and good reproducibility have been obtained with a developer concentration of 25% tetramethyl ammonium hydroxide and a baking temperature of 90 °C. With these optimal parameters an experimental lithographic pattern of 50 nm lines and spaces could be resolved in 220 nm thick HSQ resist film exposed at 50 keV.

165 citations


Patent
18 Apr 2003
TL;DR: In this article, a method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, is provided, including positioning the reticle in a first orientation on a reticle support in a processing chamber.
Abstract: Method and apparatus for etching a metal layer disposed on a substrate, such as a photolithographic reticle, are provided. In one aspect, a method is provided for processing a photolithographic reticle including positioning the reticle in a first orientation on a reticle support in a processing chamber, wherein the reticle comprises a metal photomask layer formed on an optically transparent substrate, and a patterned resist material deposited on the metal photomask layer, etching the metal photomask layer in the first orientation, positioning the reticle in at least a second orientation, and etching the metal photomask layer in the at least second orientation.

Journal ArticleDOI
TL;DR: In this article, a focused mega-electronvolt (MeV) proton beam was used to write accurate high-aspect-ratio structures at sub-100 nm dimensions.
Abstract: We report the utilization of a focused mega-electron-volt (MeV) proton beam to write accurate high-aspect-ratio structures at sub-100 nm dimensions. Typically, a MeV proton beam is focused to a sub-100 nm spot size and scanned over a suitable resist material. When the proton beam interacts with matter it follows an almost straight path. The secondary electrons induced by the primary proton beam have low energy and therefore limited range, resulting in minimal proximity effects. These features enable smooth three-dimensional structures to be direct written into resist materials. Initial tests have shown this technique capable of writing high aspect ratio walls of 30 nm width with sub-3 nm edge smoothness.

Patent
25 Feb 2003
TL;DR: In this paper, the authors proposed contact planarization methods that can be used to planarize substrate surfaces having a wide range of topographic feature densities for lithography applications.
Abstract: The present invention is directed towards contact planarization methods that can be used to planarize substrate (32) surfaces having a wide range of topographic feature (34) densities for lithography applications. These processes use thermally curable, photo-curable, or thermoplastic materials to provide globally planarized surfaces (38) over topographic substrate surfaces for lithography applications. Additional coating(s) with global planarity and uniform thickness can be obtained on the planarized surfaces. These inventive methods can be utilized with single-layer, bilayer, or multi-layer processing involving bottom anti-reflective coatings, photoresists, hardmasks, and other organic and inorganic polymers in an appropriate coating sequence as required by the particular application. More specifically, this invention produces globally planar surfaces for use in dual damascene and bilayer processes with greatly improved photolithography process latitude. The invention further provides globally planar surfaces to transfer patterns using imprint lithography, nano-imprint lithography, hot-embossing lithography and stamping pattern transfer techniques.

Journal ArticleDOI
TL;DR: In this paper, a method to fabricate multi-layer, embedded micro fluidic structures by simply employing dosage-controlled UV exposure on thick SU-8 resist and anti-reflection coating on the bottom surface to prevent the reflection UV-light from inducing exposure was proposed.
Abstract: This paper proposes a novel method to fabricate multi-layers, embedded micro fluidic structures by simply employing dosage-controlled UV exposure on thick SU-8 resist and anti-reflection coating on the bottom surface to prevent the reflection UV-light from inducing exposure. Experimental results show the top wall thickness of the embedded micro-channels can be well controlled in a resolution of 2 μm for the UV dosage from 120 to 190 mJ/cm2. Stacked micro-channels have also been successfully realized and showed no interference on the bottom structures when the top structures are being exposed. Numerical simulation of the top wall thickness by UV exposure dosage control has also been conducted, and the comparison between the calculated and experimental results showed similarity in trend. This simple and inexpensive method can be applied to fabricate multi-layers of complex fluidic systems for the applications of μTAS (MicroTotal Analysis System), inkjet printhead, capillary electrophoresis, and micro PCR (Polymerase Chain Reaction).

Journal ArticleDOI
TL;DR: In this paper, a novel method for depositing patterned dielectric layers with sub-micron features using atomic layer deposition (ALD) is described. But the method is not suitable for high-k materials.
Abstract: We report a novel method for depositing patterned dielectric layers with sub-micron features using atomic layer deposition (ALD). The patterned films are superior to sputtered or evaporated films in continuity, smoothness, conformality, and minimum feature size. Films were deposited at 100-150C using several different precursors and patterned using either PMMA or photoresist. The low deposition temperature permits uniform film growth without significant outgassing or hardbaking of resist layers. A liftoff technique presented here gives sharp step edges with edge roughness as low as ~10 nm. We also measure dielectric constants (k) and breakdown fields for the high-k materials aluminum oxide (k ~ 8-9), hafnium oxide (k ~ 16-19) and zirconium oxide (k ~ 20-29), grown under similar low temperature conditions.

Patent
Masayuki Endo1, Masaru Sasago1
14 Aug 2003
TL;DR: In this paper, pattern exposure is carried out by irradiating the resist film with exposing light while supplying, between a projection lens and resist film, a solution of water (having a refractive index of 1.44) that includes an antifoaming agent and is circulated and temporarily stored in a solution storage.
Abstract: After forming a resist film made from a chemically amplified resist material, pattern exposure is carried out by irradiating the resist film with exposing light while supplying, between a projection lens and the resist film, a solution of water (having a refractive index of 1.44) that includes an antifoaming agent and is circulated and temporarily stored in a solution storage. After the pattern exposure, the resist film is subjected to post-exposure bake, and the resultant resist film is developed with an alkaline developer. Thus, a resist pattern made of an unexposed portion of the resist film can be formed in a good shape.

Journal ArticleDOI
TL;DR: In this paper, a method is proposed to fabricate channels for fluidic applications with a depth in the nanometer range by etching shallow trenches into langle110rangle silicon using native oxide as a mask material and OPD resist developer as the etchant.
Abstract: In this paper a method is proposed to fabricate channels for fluidic applications with a depth in the nanometer range. Channels with smooth and straight sidewalls are constructed with the help of micromachining technology by etching shallow trenches into langle110rangle silicon using native oxide as a mask material and OPD resist developer as the etchant. Sub-50 nm deep fluidic channels are formed after bonding the nanopatterned wafers with silicon or borofloat-glass wafers. The nanofabrication process is significantly simplified by using native oxide as the main mask material. The etch depth of the nanochannels is limited by the thickness of the native oxide layer, and by the selectivity of the oxide/silicon etch rate (estimated to be at least 250 for langle110rangle silicon at room temperature).

Journal ArticleDOI
TL;DR: In this paper, an alternative approach of preparing spin-coatable TiO2 resists by chemically reacting titanium n-butoxide with benzoylacetone in methyl alcohol was described.
Abstract: Conventional methods for electron beam patterning of TiO2 are based on sputtering and lift-off. This poses significant problems in producing high aspect ratio and stoichiometric structures, especially in the sub-100 nm size range. We describe an alternative approach of preparing spin-coatable TiO2 resists by chemically reacting titanium n-butoxide with benzoylacetone in methyl alcohol. They have an electron beam sensitivity of ∼35 mC cm-2 and are >107 times more sensitive to an electron beam than sputtered TiOx and crystalline TiO2 films. Fourier transform infrared studies suggest that exposure to an electron beam results in the gradual removal of organic material from the resist. This makes the exposed resist insoluble in organic solvents such as acetone, thereby providing high-resolution negative patterns as small as 8 nm wide. Such negative patterns can be written with a pitch as close as 30 nm.

Patent
04 Apr 2003
TL;DR: In this paper, a positive type resist formed on the surface of a substrate is exposed by using a first photo-mask for causing a light beam to be transmitted through a part corresponding to the shape of a resist coating film 21B.
Abstract: PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device for forming a resist film with a desired shape without generating resist residual on the surface of a substrate having a slanted face part. SOLUTION: A positive type resist formed on the surface of a substrate is exposed by using a first photo-mask for causing a light beam to be transmitted through a part corresponding to the shape of a resist coating film 21B to be formed. Then, the positive type resist is exposed by using a second photo- mask for causing a light beam to be transmitted through a slanted face part 11C and its outer boundary part 11E.

Journal ArticleDOI
TL;DR: In this article, a photoacid generator (PAG) is described that can be efficiently activated by two-photon excitation (TPE) and can be used for high-sensitivity three-dimensional micro-patterning of acid-sensitive media.
Abstract: A new photoacid generator (PAG) is described that can be efficiently activated by two-photon excitation (TPE) and can be used for high-sensitivity three-dimensional micro-patterning of acid-sensitive media The molecule has a large two-photon absorption cross-section that peaks near 705 nm (δ=690×10−50 cm4 s photon−1) and a high quantum yield for the photochemical generation of acid (φH+≈05) Under near-infrared laser irradiation, the molecule produces acid subsequent to TPE and initiates the polymerization of epoxides at an incident intensity that is one to two orders of magnitude lower than that needed for conventional ultraviolet-sensitive initiators The new PAG was used in conjunction with the solid epoxide resist Epon SU-8 for negative-tone three-dimensional microfabrication and was incorporated into a chemically amplified resist for positive-tone fabrication of a three-dimensional microchannel structure

Book
01 Jan 2003
TL;DR: In this paper, the authors proposed a method for nano-imprinting of point contacts using point contacts and stamp imprinting, which can be seen as a step-and-match approach.
Abstract: 1. Alternative Lithography.- 1.1 Introduction.- 1.2 Moulding polymers in the nanometer scale.- 1.3 Microcontact printing.- 1.4 Scanning probe aproaches.- 1.5 Applications.- 1.6 Recent nanofabrication experiments.- 1.7 Status and perspectives.- 2. Nanoimprint Lithography.- 2.1 Introduction.- 2.2 Nanoimprint lithography (NIL) Principle and process.- 2.3 Resolution.- 2.4 3-D patterning.- 2.5 Imprint over non-flat surfaces.- 2.6 Uniformity and submicron alignment over 4 inch wafers.- 2.7 Different imprint machines.- 2.8 Applications.- 2.9 Summary and future.- 3. Viscoelastic Properties of Polymers.- 3.1 Introduction.- 3.2 Squeezing flow of a Newtonian liquid in HEL.- 3.3 Viscoelastic properties of polymers.- 4. Nanorheology.- 4.1 Introduction.- 4.2 Basics of thin film rheology.- 4.3 Hot embossing in practice.- 4.4 Looking ahead.- 5. Wafer Scale Nanoimprint Lithography.- 5.1 Introduction.- 5.2 Special requirements for large wafer scale NIL.- 5.3 Fabrication of a nanoimprint lithography system.- 5.4 Nil Equipment design.- 5.5 Imprint processing.- 5.6 Discussion and conclusions.- 6. Step And Stamp Imprint Lithography.- 6.1 Introduction.- 6.2 Step and stamp imprinting lithography.- 6.3 Pattern transfer using step and stamp imprint lithography.- 6.4 Mix and match with UV lithography.- 6.5 Pattern reproduction.- 6.6 Conclusions.- 7. Step and Flash Imprint Lithography.- 7.1 Introduction.- 7.2 Process overview.- 7.3 Template fabrication.- 7.4 Surface treatment.- 7.5 Etch barrier.- 7.6 Reliability.- 7.7 Patterning results.- 8. Using PDMS as a thermocurable resist for a mold assisted imprint process.- 8.1 Introduction.- 8.2 PDMS material.- 8.3 Technological implementation.- 8.4 Results.- 8.5 Conclusions and domains of application.- 9. Molecules for Microcontact Printing.- 9.1 Introduction.- 9.2 Quality of printed SAMs.- 9.3 Microcontact printing of etch resists.- 9.4 Printing functional adsorbates.- 9.5 Printing on other substrates.- 9.6 Microcontact printing in bio-applications.- 9.7 Conclusions.- 10. Microcontact Printing Techniques.- 10.1 Introduction.- 10.2 The self-assembly of alkanethiols.- 10.3 The stamp.- 10.4 Properties of poly(dimethyl siloxane) elastomers.- 10.5 Stamps for microcontact printing.- 10.6 Stamp fabrication.- 10.7 The microcontact printing process.- 10.8 Substrates for microcontact printing.- 10.9 Printing conditions.- 10.10 Chemical etching.- 10.11 Microcontact printing using ultrathin stamps.- 10.12 A multilevel process: Mix and Match with accurate alignment of the RCP.- 11. Local Oxidation Nanolithography.- 11.1 Introduction.- 11.2 Local oxidation modes.- 11.3 Liquid meniscus.- 11.4 Kinetics.- 11.5 Mechanism.- 11.6 Substrates.- 11.7 Resolution.- 11.8 Applications.- 12. Combined Approaches for Nanoelectronic Device Fabrication.- 12.1 Introduction.- 12.2 Fabrication of nanoelectronic devices.- 12.3 Mold fabrication.- 12.4 Alignment.- 12.5 Alternative polymers.- 12.6 Characterization.- 13. Application of Nanoimprint Lithography in Magnetism.- 13.1 Introduction.- 13.2 Physics of patterned magnetic structures.- 13.3 Nanoimprint lithography parameters.- 13.4 Patterned magnetic nanostructures.- 13.5 Conclusion.- 14. Application of Microcontact Printing and Nanoimprint Lithography.- 14.1 Introduction.- 14.2 Process.- 14.3 Nanoimprinting of point contacts.- 15. Optical Applications of Nanoimprint Lithography.- 15.1 Introduction.- 15.2 Candidates for and examples of printed optical devices.- 15.3 Nanoimprint lithography of photonic devices.- 15.4 Outlook and conclusion.- 16. Biotechnology Applications of NIL.- 16.1 Introduction.- 16.2 Introduction to NIL.- 16.3 Biotechnical application areas for NIL.- 16.4 Examples.- 17. Soft Lithography and Imprint-Based Techniques for Microfluidics and Biological Analysis.- 17.1 Introduction.- 17.2 Soft lithography.- 17.3 Imprint-based techniques.- 17.4 Conclusions and perspectives.

Journal ArticleDOI
Hiroshi Ito1
TL;DR: The chemical amplification concept aimed at dramatically boosting the resist sensitivity was invented at IBM Research in San Jose, CA, in 1980 and was quickly employed in the manufacture of 1 megabit (Mbit) dynamic random access memory (DRAM) devices by deep ultraviolet (UV) (∼250 nm) lithography in IBM as mentioned in this paper.
Abstract: The chemical amplification concept aimed at dramatically boosting the resist sensitivity was invented at IBM Research in San Jose, CA, in 1980. The sensitivity enhancement is achieved by generating acid by irradiation, which induces a cascade of chemical transformations in a resist film. A chemically amplified resist based on acid-catalyzed deprotection was quickly employed in the mid-80s in manufacture of 1 megabit (Mbit) dynamic random access memory (DRAM) devices by deep ultraviolet (UV) (∼250 nm) lithography in IBM. The unexpectedly high-resolution capability of chemical amplification resists promoted their acceptance in the resist community and the microelectronics industry. All the advanced lithographic technologies (current workhorse 248 nm, maturing 193 nm, and emerging 157 nm, extreme UV, and projection electron beam) depend on chemical amplification resists. This article describes the invention, implementation in device manufacturing, current status, and future perspective of chemical amplification resists. © 2003 Wiley Periodicals, Inc. J Polym Sci Part A: Polym Chem 41: 3863–3870, 2003

Patent
03 Dec 2003
TL;DR: In this paper, Dip-Pen Nanolithography (DPN) has been used to generate resist layers on Au, Ag, and Pd that when combined with wet chemical etching can lead to nanostructures with deliberately designed shapes and sizes.
Abstract: Combination of nanolithography and wet chemical etching including the fabrication of nanoarrays of sub-50 nm gold dots and line structures with deliberately designed approximately 12-100 nm gaps. These structures were made by initially using direct write nanolithography to pattern the etch resist, 16-mercaptohexadecanoic acid (MHA), on Au/Ti/SiOx/Si substrates and then wet chemical etching to remove the exposed gold. These are the smallest Au structures prepared by a wet chemical etching strategy. Also, Dip-Pen Nanolithography (DPN) has been used to generate resist layers on Au, Ag, and Pd that when combined with wet chemical etching can lead to nanostructures with deliberately designed shapes and sizes. Monolayers of mercaptohexadecanoic acid (MHA) or octadecanethiol (ODT), patterned by DPN, were explored as etch resists. They work comparably well on Au and Ag, but ODT is the superior material for Pd. MHA seems to attract the FeCl3 etchant and results in nonuniform etching of the underlying Pd substrate. Dots, lines, triangles and circles, ranging in size from sub-100 to several hundred nm have been fabricated on these substrates. These results show how one can use DPN as an alternative to more complex and costly procedures like electron beam lithography to generate nanostructures from inorganic materials.

Patent
05 Dec 2003
TL;DR: In this article, a polycrystalline silicon film is formed on a gate insulating film and an impurity is implanted thereon by masking the regions (120) where the capacitors are to be formed with a resist 193.
Abstract: PROBLEM TO BE SOLVED: To solve a problem in a semiconductor integrated circuit in which a logic circuit that requires high-speed operation and a semiconductor memory device such as a DRAM that stores electrical charge are loaded together, wherein gate insulating films of a same thickness are used for the gates and the storage capacitors, therefore performance of each device cannot be fully extracted, and consequently performance of one of the devices is sacrificed. SOLUTION: A polycrystalline silicon film 111 is formed on a gate insulating film 110, and an impurity is implanted thereon by masking the regions (120) where the capacitors are to be formed with a resist 193. A gate-electrode pattern and a capacitor-electrode pattern are subsequently formed on the polycrystalline silicon film 111. Then, source/drain implantation for the transistors is carried out by utilizing the electrodes for the mask. COPYRIGHT: (C)2004,JPO

Patent
12 Jun 2003
TL;DR: In this article, the shape of a resist pattern obtained by a chemical shrink method is improved by using a water-soluble film to crosslink the resist pattern with a carboxylic acid group formed on a substrate.
Abstract: PROBLEM TO BE SOLVED: To improve the shape of a resist pattern obtained by a chemical shrink method SOLUTION: First, a resist film 102 comprising a resist containing a carboxylic acid group formed on a substrate 101 is exposed by irradiating with exposure light 103 through a mask 104 Then the exposed resist film 102 is developed to form a first resist pattern 102b from the resist film 102 Then the surface of the first resist pattern 102b is soaked in a solution 105 with addition of a reducing agent, and a water-soluble film 106 containing a crosslinking agent which crosslinks with the carboxylic acid group constituting the first resist pattern 102 is formed on the first resist pattern 102b The water-soluble film 106 is heated to crosslink portions of the water-soluble film 106 and the first resist pattern 102a in contact with each other on the side face of the first resist pattern 102a, and the water-soluble film 106 in an unreacted portion is removed, to form a second resist pattern 107 from the first resist pattern 102a with the remaining water-soluble film 106 on the side face of the pattern 102a COPYRIGHT: (C)2005,JPO&NCIPI

Journal ArticleDOI
TL;DR: In this article, the authors used 50 kV electron beam lithography to achieve isolated 6-nm wide lines and 27 nm period gratings in 30 nm hydrogen silsesquioxane films on silicon substrates.
Abstract: Hydrogen silsesquioxane (HSQ) is a high-resolution negative-tone inorganic resist for electron beam lithography. Investigations on the smoothness of the surfaces of thin films (less than 100 nm thick) have been conducted for nanolithography applications. It is demonstrated that films at thicknesses down to 25 nm have very low rms roughness and are defect free. Using 50 kV electron beam lithography, we demonstrate the achievement of isolated 6-nm-wide lines and 27 nm period gratings in 30 nm HSQ films on silicon substrates. These results are superior to those achieved with poly(methylmethacrylate) resist and demonstrates the versatility of HSQ for nanolithography.

Journal ArticleDOI
TL;DR: In this article, an experimental study of the possibility to fabricate grey scale optical elements and 3D structures in SU-8 resist was carried out, and a new technology for 3D self-supporting structure formation was suggested for applications in microfluidics and bioscience.

Journal ArticleDOI
TL;DR: What is to the authors' knowledge a new imaging transfer function referred to as the LER transfer function (LTF), which fundamentally differs from both the conventional modulation transfer function and the optical transfer function is presented.
Abstract: The control of line-edge roughness (LER) of features printed in photoresist poses significant challenges to next-generation lithography techniques such as extreme-ultraviolet (EUV) lithography. Achieving adequately low LER levels will require accurate resist characterization as well as the ability to separate resist effects from other potential contributors to LER. One significant potential contributor is LER on the mask. Here we explicitly study the mask to resist LER coupling using both analytical and computer-simulation methods. We present what is to our knowledge a new imaging transfer function referred to as the LER transfer function (LTF), which fundamentally differs from both the conventional modulation transfer function and the optical transfer function. Moreover, we present experimental results demonstrating the impact of current EUV masks on projection-lithography-based LER experiments.

Patent
17 Apr 2003
TL;DR: In this paper, a DTR patterned magnetic recording disk having a carbon overcoat is described, where the carbon overcoating may be deposited above the data storage layers to maximize edge coverage of the discrete track areas.
Abstract: A DTR patterned magnetic recording disk having a carbon overcoat is described. The carbon overcoat may be deposited above the data storage layers to maximize edge coverage of the discrete track areas. The DTR pattern may be formed using a bilayer resist film for lift-off of above deposited metal and carbon layers.

Journal ArticleDOI
TL;DR: In this paper, the authors consider limitations of resolution for production lithography, both the resolution limits of the exposure tool itself and the resolution limit of the resist process, and the most important considerations for production processes are the tradeoff between resist process sensitivity and resolution.
Abstract: A lithographic process capable of manufacturing state of the art chips faces many difficult challenges. Not only must the process resolve the minimum feature size but overlay errors must be held to tight tolerances, exquisitely complex patterns must be printed with high yield, and the overall cost of the process must be acceptable. Achieving acceptable chip cost using an expensive exposure tool is strongly linked to high throughput, and this in turn is linked to resist processes with high sensitivity. In recent years, chemically amplified resist processes have dominated state-of-the-art production because of their high resolution and excellent sensitivity. This article will consider limitations of resolution for production lithography, both the resolution limits of the exposure tool itself and the resolution limits of the resist process. Among the most important considerations for production processes is the tradeoff between resist process sensitivity and resolution. Fundamental reasons underlying the success of optical lithography for manufacturing integrated circuits will be described. These considerations will illuminate the challenges and opportunities for future lithographic methods.