scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2004"


Journal ArticleDOI
TL;DR: In this paper, the authors introduce patterned features into both self-assembling monolayers and the substrates that support them as the parameters controlling SAM formation and dynamics are better understood.

829 citations


Journal ArticleDOI
TL;DR: In this paper, the authors report advances in nano-print lithography, its application in nanogap metal contacts, and related fabrication yield, and demonstrate 5nm linewidth and 14nm linepitch in resist using nanoimprint at room temperature with a pressure less than 15psi.
Abstract: We report advances in nanoimprint lithography, its application in nanogap metal contacts, and related fabrication yield. We have demonstrated 5nm linewidth and 14nm linepitch in resist using nanoimprint lithography at room temperature with a pressure less than 15psi. We fabricated gold contacts (for the application of single macromolecule devices) with 5nm separation by nanoimprint in resist and lift-off of metal. Finally, the uniformity and manufacturability of nanoimprint over a 4in. wafer were demonstrated.

617 citations


Journal ArticleDOI
TL;DR: The microstructured silicon (μs-Si) as mentioned in this paper is a type of material that can be deposited and patterned onto plastic substrates to yield mechanically flexible thin film transistors that have excellent electrical properties.
Abstract: Free-standing micro- and nanoscale objects of single crystal silicon can be fabricated from silicon-on-insulator wafers by lithographic patterning of resist, etching of the exposed top silicon, and removing the underlying SiO2 to lift-off the remaining silicon. A large collection of such objects constitutes a type of material that can be deposited and patterned, by dry transfer printing or solution casting, onto plastic substrates to yield mechanically flexible thin film transistors that have excellent electrical properties. Effective mobilities of devices built with this material, which we refer to as microstructured silicon (μs-Si), are demonstrated to be as high as 180cm2∕Vs on plastic substrates. This form of “top down” microtechnology might represent an attractive route to high performance flexible electronic systems.

404 citations


Journal ArticleDOI
TL;DR: In this article, the authors investigate poly(methylmethacrylate) (PMMA) development process with cold developers for its effect on resolution, resist residue, and pattern quality of sub-10 nm electron beam lithography (EBL).
Abstract: We investigate poly(methylmethacrylate) (PMMA) development processing with cold developers (4–10 °C) for its effect on resolution, resist residue, and pattern quality of sub-10 nm electron beam lithography (EBL). We find that low-temperature development results in higher EBL resolution and improved feature quality. PMMA trenches of 4–8 nm are obtained reproducibly at 30 kV using cold development. Fabrication of single-particle-width Au nanoparticle lines was performed by lift-off. We discuss key factors for formation of PMMA trenches at the sub-10 nm scale.

232 citations


Journal ArticleDOI
TL;DR: A new form of edge lithography, edge spreading lithography (ESL), has been demonstrated and applied to the formation of coinage metal rings, forming highly ordered SAMs in the form of a ring pattern.
Abstract: A new form of edge lithography, edge spreading lithography (ESL), has been demonstrated and applied to the formation of coinage metal rings. In this process, alkanethiols are delivered from a flat PDMS stamp to the surface of a metal film through a two-dimensional array of spherical silica colloids. The thiols further spread on the metal surface, forming highly ordered SAMs in the form of a ring pattern. Following lift-off of beads, the pattern in the SAMs can be transferred into the metal film through wet chemical etching, with SAMs serving as the resist. The dimensions of the rings can be readily controlled by several parameters such as the beads diameter, the concentration of the thiol solution, and the contact time between the stamp and the silica beads.

200 citations


Journal ArticleDOI
TL;DR: In this paper, a novel microfabrication technology of 3D microstructures with inclined/rotated UV lithography using negative thick photoresist, SU-8, was presented.
Abstract: This paper presents a novel microfabrication technology of three-dimensional (3D) microstructures with inclined/rotated UV lithography using negative thick photoresist, SU-8. In exposure process, a photomask and a SU-8 coated substrate are fixed together, and tilted or tilted and rotated to a UV source. The reflected UV at the interface between the resist and the substrate is also exploited as well as the incident UV. With the 3D microfabrication technology, various 3D microstructures are easily fabricated such as oblique cylinders, embedded channels, bridges, V-grooves, truncated cones, and so on. The angles between fabricated structures and normal lines are 19.5°, when the incident angle of UV in air is 32°. Thus, the refractive index of SU-8 and the maximum refractive angle at the interface between SU-8 and air are expected about 1.6 and 39°, respectively.

186 citations


Journal ArticleDOI
TL;DR: In this article, a technique for the production of large areas of high aspect-ratio patterns and describe their use as model super-hydrophobic systems is presented. But this technique requires the use of photoresist patterns without micromoulding.
Abstract: In this work we present a reliable technique for the production of large areas of high aspect-ratio patterns and describe their use as model super-hydrophobic systems. The high thickness and straight sidewalls possible with SU-8 were used to generate dense patterns of small pillars. These photoresist patterns could be used directly, without the need for micromoulding. A method is given allowing resist thickness to be varied over a wide range and a bottom antireflective layer was used to simplify patterning on reflective substrates. This patterning technique allows rapid testing of wetting theories, as pattern size and depth can be varied simply and samples can be produced in sufficient numbers for laboratory use. We show how the static contact angle of water varies with pattern height for one sample-pattern and how static and dynamic contact angles vary with dimension using high aspect-ratio patterns.

168 citations


Proceedings ArticleDOI
20 May 2004
TL;DR: In this paper, shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are studied.
Abstract: The shot noise, line edge roughness (LER) and quantum efficiency of EUV interaction with seven resists related to EUV-2D (SP98248B) are studied. These resists were identical to EUV-2D except were prepared with seven levels of added base while keeping all other resist variables constant. These seven resists were patterned with EUV lithography, and LER was measured on 100-200 nm dense lines. Similarly, the resists were also imaged using DUV lithography and LER was determined for 300-500 nm dense lines. LER results for both wavelengths were plotted against Esize. Both curves show very similar LER behavior-the resists requiring low doses have poor LER, whereas the resists requiring high doses have good LER. One possible explanation for the observed LER response is that the added base improves LER by reacting with the photogenerated acid to control the lateral spread of acid, leading to better chemical contrast at the line edge. An alternative explanation to the observed relationship between LER and Esize is that shot-noise generated LER decreases as the number of photons absorbed at the line edge increases. We present an analytical model for the influence of shot noise based on Poisson statistics that preidicts that the LER is proportional to (Esize)-1/2. Indeed, both sets of data give straight lines when plotted this way (DUV r2 = 0.94; EUV r2 = 0.97). We decided to further evaluate this interpretation by constructing a simulation model for shot noise resulting from exposure and acid diffusion at the mask edge. In order to acquire the data for this model, we used the base titration method developed by Szmanda et al. to determine C-parameters and hence the quantum efficiency for producing photogenerated acid. This information, together with film absorptivity, allows the calculation of number and location of acid molecules generated at the mask edgte by assuming a stochastic distribution of individual photons corresponding to the aerial image function. The edge "roughness" of the acid molecule distribution in the film at the mask edge is then simulated as a function of acid diffusion length and compared to the experimental data. In addition, comparisoins between of the number of acid molecules generated and photons consumed leads to values of quantum efficiencies for these EUV resists.

157 citations


Patent
01 Dec 2004
TL;DR: In this paper, a patterned photoresist mask is placed over the porous low-K dielectric layer and a feature is etched into the feature after the etching.
Abstract: A method of forming a feature in a porous low-K dielectric layer is provided. A porous low-K dielectric layer is placed over a substrate. A patterned photoresist mask is placed over the porous low-K dielectric layer. A feature is etched into the porous low-K dielectric layer. A protective layer is deposited over the feature after the etching the feature. The patterned photoresist mask is stripped, so that part of the protective layer is removed, where protective walls formed from the protective layer remain in the feature.

144 citations


Patent
20 Feb 2004
TL;DR: An immersion exposure process-use resist protection film forming material formed on a resist film, the material having characteristics of being transparent to an exposure light, having practically no miscibility with an immersion exposure-use liquid and causing no mixing with the resist film as discussed by the authors.
Abstract: An immersion exposure process-use resist protection film forming material formed on a resist film, the material having characteristics of being transparent to an exposure light, having practically no miscibility with an immersion exposure-use liquid and causing no mixing with the resist film; a protection film formed by this material; a composite film having the resist film; and a resist pattern forming method using them. These can prevent the degeneration of a resist film during immersion exposing and the degeneration of a liquid being used at the same time, and can form a high-resolution resist pattern using immersion exposing.

123 citations


Journal ArticleDOI
Burn-Jeng Lin1
TL;DR: In this paper, the authors give a systematic examination of immersion lithography, analyze and evaluate the diffraction, required, and available DOF in a dry and an immersion system, and analyze the effects of polarization to dry and immersion imaging.
Abstract: ArF lithography is approaching its limit past the 90-nm node. F2 lithography using 157-nm light seems to be a natural extension to the next node. However, several key problems in F2 lithography are still insurmountable. Thin-film pellicle material cannot withstand more than 10 exposures. Hard pellicle technology is far from being manufacture worthy. Ditto for the F2 resist systems. Despite great progresses made, the CaF2 material still suffers from quality and quantity problems. On the other hand, ArF lithography using water immersion between the front lens element and the photoresist effectively reduces the 193-nm wavelength to 135 nm and opens up room for improvement in resolution and depth of focus (DOF). We give a systematic examination of immersion lithography, analyze and evaluate the diffraction, required, and available DOFs in a dry and an immersion system. We also analyze the effects of polarization to dry and immersion imaging. These phenomena are included in simulations to study the imaging of critical layers such as poly, contact, and metal layers for the 65-, 45-, and 32-nm nodes using 193- and 157-nm, dry and immersion systems. The imaging feasibility of 157-nm immersion to the 22-nm node is briefly studied. In addition to the imaging comparison, the impacts and challenges to employ these lithography systems are also covered.

Journal ArticleDOI
TL;DR: Carbon nanotube field-effect transistors with sub-20 nm long channels and on/off current ratios of >10(6) are demonstrated and display on-currents in excess of 15 microA for drain-source biases of only 0.4 V.
Abstract: Carbon nanotube field-effect transistors with sub 20 nm long channels and on/off current ratios of > 1000000 are demonstrated. Individual single-walled carbon nanotubes with diameters ranging from 0.7 nm to 1.1 nm grown from structured catalytic islands using chemical vapor deposition at 700 degree Celsius form the channels. Electron beam lithography and a combination of HSQ, calix[6]arene and PMMA e-beam resists were used to structure the short channels and source and drain regions. The nanotube transistors display on-currents in excess of 15 microA for drain-source biases of only 0.4 Volt.

Journal ArticleDOI
TL;DR: In this article, the coupling between the straight waveguide and the microring resonator is precisely controlled, and the critical coupling condition can be achieved, and a short 2mm active-section prototype Mach−Zehnder interferometer modulator is made by the replica molding process, using CLD-1/APC electrooptic polymer as the core material.
Abstract: Polymeric integrated optical devices, including microring resonator optical filters and Mach−Zehnder interferometer modulators, fabricated by electron-beam lithography and soft lithography are considered in this article. Microring resonator optical filters made of SU-8 (MicroChem, Newton, MA), directly patterned by electron-beam lithography, demonstrate that SU-8 is a good candidate for high-precision, easily fabricated, and good-optical-quality passive integrated optical devices. Due to the electron-beam lithography process, the coupling between the straight waveguide and the microring resonator is precisely controlled, and the critical coupling condition can be achieved. Additionally, films containing several devices patterned by electron-beam lithography are peeled from the silicon substrate, yielding ultrathin all-polymer flexible free-standing microring resonator optical filters exhibiting up to −27 dB filtering extinction. Using a PDMS stamp, molded from these electron-beam-patterned microring resonator optical filters, identical replicas are fabricated by the soft lithography molding technique. Soft lithography is also applied to active polymer materials. A short 2-mm active-section prototype Mach−Zehnder interferometer modulator is made by the replica molding process, using CLD-1/APC electrooptic polymer as the core material. A reasonable intensity-modulation effect is observed by applying voltage to one arm of the interferometer.

Journal ArticleDOI
TL;DR: In this article, a combined-nanoimprint and photolithography (CNP) technique was proposed to achieve resist patterns without residual layer, and the resist patterns can have higher aspect ratio than the feature on the mold.

Patent
23 Jun 2004
TL;DR: In this article, a method for processing a substrate is presented which includes generating a meniscus on the surface of the substrate and applying photolithography light through the maniscus to enable the processing of a surface of a substrate.
Abstract: A method for processing a substrate is provided which includes generating a meniscus on the surface of the substrate and applying photolithography light through the meniscus to enable photolithography processing of a surface of the substrate.

Patent
Yamaguchi Atsumi1
27 May 2004
TL;DR: In this paper, a method for manufacturing a semiconductor device comprises the steps of irradiating exposure light onto a resist film coated on a substrate to be etched via a photomask on which at least a first opening pattern and a second opening pattern are formed to expose the resist film.
Abstract: When a resist pattern used to manufacture a semiconductor integrated circuit element is formed, high alignment precision can be achieved. A method for manufacturing a semiconductor device comprises the steps of: irradiating exposure light onto a resist film coated on a substrate to be etched via a photomask on which at least a first opening pattern and a second opening pattern are formed to thereby expose the resist film; developing the resist film to thereby obtain a resist pattern; etching away the substrate while using the resist pattern as an etching mask to thereby obtain a predetermined pattern; and removing the resist pattern; wherein when the exposure light has first exposure intensity, the predetermined pattern obtained at the step is a pattern corresponding to the first opening pattern and a pattern corresponding to the second opening pattern; and when the exposure light has second exposure intensity, the predetermined pattern obtained at the step is only a pattern corresponding to the second opening pattern.

Journal ArticleDOI
TL;DR: In this article, a single-layer UV-nanoimprint process was used to fabricate 34 × 34 crossbar circuits with a half-pitch of 50 nm (equivalent to a bit density of 10 Gbit/cm2).
Abstract: We have developed a single-layer UV-nanoimprint process, which was utilized to fabricate 34 × 34 crossbar circuits with a half-pitch of 50 nm (equivalent to a bit density of 10 Gbit/cm2). This process contains two innovative ideas to overcome challenges in the nanoimprint at shrinking dimensions. First, our new liquid resist formulation allowed us to minimize the residual resist layer thickness after curing and requires the relatively low imprint pressure of 20 psi. Second, by engineering the surface energy of the substrate we also eliminated the problem of trapped air during contact with the mold such that it spreads the resist and expels trapped air uniformly. Our overall process required fewer processing steps than any bilayer process and yielded high quality results at 50 nm half-pitch.

Patent
Kimura Yasuki1
06 Apr 2004
TL;DR: In this article, a chromium-based thin film made of a material containing chromium is etched using a resist pattern as a mask, and the thin film is etched by the use of a chemical species produced by preparing a dry etching gas containing a halogen containing gas and an oxygen-containing gas.
Abstract: An object to be processed has a chromium-based thin film made of a material containing chromium. The thin film is etched using a resist pattern as a mask. The thin film is etched by the use of a chemical species produced by preparing a dry etching gas containing a halogen-containing gas and an oxygen-containing gas and supplying a plasma excitation power to the dry etching gas to thereby excite plasma. The thin film is etched using, as the plasma excitation power, a power lower than a plasma excitation power at which plasma density jump occurs.

Journal ArticleDOI
TL;DR: In this article, the first results on wafer scale patterning within one imprint step only, using Soft UV-Nanoimprint Lithography (UV-NIL), were presented.

Journal ArticleDOI
TL;DR: In this article, the acid distribution around an ionization point with a typical parameter set is calculated for post-optical lithography with chemically amplified electron beam (EB), x-ray, and EUV resists.
Abstract: With the shrinkage of feature sizes, ever precise accuracy has been required for process simulators because of the importance of nanoscale resist topography such as line edge roughness. Formation processes of latent images in chemically amplified electron beam (EB), x-ray, and EUV resists are different from both chemically amplified photoresists used in optical lithography and conventional, nonchemically amplified EB resists. A new simulation scheme precisely based on reaction mechanisms is necessary to reproduce resist patterns for the postoptical lithographies. We proposed a method to simulate electron dynamics in chemically amplified resists and to calculate the acid distribution around an ionization point with a typical parameter set.

Journal ArticleDOI
TL;DR: In this paper, the potential for imprinting nanostructures with flexible molds in UV-curable resists in the 100nm regime is explored and the limitations analyzed, and the resolution, dimension stability, and reproducibility of the Soft UV-Nanoimprint is investigated.
Abstract: The resolution, dimension stability, and reproducibility of the Soft UV-Nanoimprint is investigated. The potential for imprinting nanostructures with flexible molds in UV-curable resists in the 100nm regime are explored and the limitations analyzed. The dimensional stability of imprinted patterns is determined by the deformation of the mold that in term depends on the geometry of the structures and the imprint pressure applied.

Journal ArticleDOI
TL;DR: In this article, the interaction between the exposure dose and post exposure bake has played an important role in adhesion between SU-8 resist and the substrate and the proposed process conditions are given.
Abstract: SU-8 is a negative, epoxy type, near-UV photoresist. This resist has been specifically developed for ultrathick, high-aspect-ratio MEMS-type applications using standard lithography equipment. However, in practice, SU-8 has shown to be very sensitive to process parameter variation. The orthogonal array was used in our experiments in order to improve the lithography quality and analyze the interaction among the parameters. The analyses show that the interaction between the exposure dose and post exposure bake has played an important role in adhesion between SU-8 resist and the substrate. The proposed process conditions are given. The output structure has straight sidewall profile, fine line and good space resolution. The aspect ratio is larger than 20. Moreover, several metallic films are used as substrates. The Ti film with oxidation treatment was found to have the strongest adhesion to the resist. The result will help to open possibilities for low-cost LIGA-type process for MEMS applications.

Journal ArticleDOI
TL;DR: In this article, the authors used dip-pen nanolithography (DPN) to generate resist layers on Au, Ag, and Pd that when combined with wet-chemical etching can lead to nanostructures with deliberately designed shapes and sizes.
Abstract: Dip-pen nanolithography (DPN) has been used to generate resist layers on Au, Ag, and Pd that when combined with wet-chemical etching can lead to nanostructures with deliberately designed shapes and sizes. Monolayers of mercaptohexadecanoic acid (MHA) or octadecanethiol (ODT), patterned by DPN, were explored as etch resists. They work comparably well on Au and Ag, but ODT is the superior material for Pd. MHA seems to attract the FeCl3 etchant and results in nonuniform etching of the underlying Pd substrate. Dots, lines, triangles, and circles, ranging in size from sub-100 to several hundred nanometers have been fabricated on Si/SiOx substrates. These results show how one can use DPN as an alternative to more complex and costly procedures such as electron beam lithography to generate nanostructures from inorganic materials.

Journal ArticleDOI
TL;DR: In this article, a facile approach to the directed assembly of silica nanoparticles (≲ 100nm diameter) into periodic arrays on flat surfaces using interference lithography and spin coating was presented.
Abstract: We present a facile approach to the directed assembly of silica nanoparticles (≲ 100-nm diameter) into periodic arrays on flat surfaces using interference lithography and spin coating. Periodic photoresist patterns, used as templates for the spin-coating process, were prepared using interference lithography. Silica nanoparticle dispersions were spin coated on these patterned surfaces, and the resist was removed, leaving periodic nanoparticle patterns on flat surfaces. Parallel arrays and continuous cross networks of particles were fabricated successfully with periods between hundreds of nanometers and several microns over areas of several square centimeters. The morphology (period, layer width and thickness, etc.) of the particle patterns can be controlled by varying the process conditions.

Journal ArticleDOI
TL;DR: In this paper, an atomic force microscopy is used to investigate the contribution of the imaging resist sidewall topography to the sidewall roughness of the final etched feature in thin photoresists, ARC and hardmasks.
Abstract: For the patterning of sub-100 nm features, a clear understanding of the origin and control of line edge roughness (LER) is extremely desirable, from a fundamental as well as a manufacturing perspective. With the migration to thin photoresists coupled with bottom antireflective coating (ARC)-hardmask underlayers, LER analysis of the developed resist structures is perhaps an inaccurate representation of the substrate roughness after the etch process, since those underlayers can play a significant role in increasing/decreasing linewidth variations during the image transfer process and hence can impact the device performance. In this article, atomic force microscopy is used to investigate the contribution of the imaging resist sidewall topography to the sidewall roughness of the final etched feature in thin photoresists, ARC, and hardmasks. Resist systems suitable for 248 and 193 nm lithography as well as fluorine-containing resists were processed using N2-H2 or fluorocarbon plasma etch. It is shown that the interaction of different etch chemistries with existing sidewall profiles can result in loss of the original morphological information and creation of new spatial frequency domains that act as physical templates for subsequent image transfer processes. Excessive roughness transfer into the hardmask layer due to insufficient resist thickness or inadequate etch resistance originates from striation propagation from the resist layer into the hardmask layer. In the case of fluorine-containing materials, a decreased etch resistance and reduced initial film thickness values give rise to critical underlayer roughening during plasma etch. Based on the results shown, it is predicted that advanced resist systems for 157 nm lithography and beyond will require the use of ARC layers with built-in hardmask properties in those particular cases in which patterning of deep trenches is needed, in order to maintain LER values within acceptable levels.

Journal ArticleDOI
TL;DR: In this article, a 150 µm thick layer of SU-8 photoresist is used as the encapsulating material to prevent the formation of bubbles and to allow the definition of smaller wells.

Journal ArticleDOI
TL;DR: It is proposed that the present surface template method may be of general application in fabrication of metallized polymers as well as in development of integrated circuits with a variety of electronic circuit elements.
Abstract: We demonstrate site-selective chemical surface modification by dispensing potassium hydroxide solution onto polyimide, which confines source metallic ions that can subsequently be used in resist- and mask-free fabrication of copper circuit patterns. Metallization can be achieved by a wet chemical method, providing control over metal/polymer interfacial structures. Because the approach is compatible with other existing printing technologies and much simpler than conventional lithography-based methods, we propose that the present surface template method may be of general application in fabrication of metallized polymers as well as in development of integrated circuits with a variety of electronic circuit elements.

Journal ArticleDOI
TL;DR: In this paper, the authors reported a study on stress reduction during the postbaking process and the effects on lithography of ultra-thick high aspect ratio SU-8 microstructures, which showed that aspect ratios up to 40:1 in isolated open field structures of thickness between 1 and 1.5 mm can be obtained without any modifications of the resist chemistry or changes in light spectrum applied from a standard broadband UV source.
Abstract: In recent years, a relatively new type of negative photoresist, EPON SU-8, has received a lot of attention in the MEMS field because of its excellent lithography properties. Significant research efforts have been made to study the lithographic properties of SU-8 to obtain high aspect ratio microstructures with good sidewall quality. Currently, selection of optimal wavelengths of the UV light for lithographic and reduction of the diffraction effects are believed to be the two most important factors for achieving high-quality lithography of SU-8 as reported in the literature. Other reported efforts also include modifications of the chemical properties of SU-8 for better lithographic quality. We report a study on stress reduction during the postbaking process and the effects on lithography of ultra-thick high aspect ratio SU-8 microstructures. Our research proves that aspect ratios up to 40:1 in isolated open field structures of thicknesses between 1 and 1.5 mm can be obtained without any modifications of the resist chemistry or changes in light spectrum applied from a standard broadband UV source. The principal factor in this achievement is the reduction of internal stress during the postexposure bake process that eliminates large plastic deformations present during standard bake procedures. This process may be used for the fabrication of ultra-thick high aspect ratio microstructures that have to date only been obtainable using x-ray lithography-based LIGA processes.

Journal ArticleDOI
Yongdong Liu1, J. Bishop1, Layne D. Williams1, Steve Blair1, James N. Herron1 
TL;DR: In this article, the authors describe an affinity biosensor platform in which enhanced fluorescence transduction occurs through the optical excitation of molecules located within metallic nanocavities, and demonstrate the use of the platform in the real-time detection of 20-base oligonucleotides in solution.
Abstract: We describe the basis for an affinity biosensor platform in which enhanced fluorescence transduction occurs through the optical excitation of molecules located within metallic nanocavities. These nanocavities are about 200 nm in diameter, are arranged in periodic or random two-dimensional arrays, and are fabricated in 70 nm thick gold films by e-beam lithography using negative e-beam resist. The experimental results show that both periodic and randomly placed metallic nanocavities can be used to enhance the fluorescence output of molecules within the cavities by about a factor of ten. In addition, the platform provides isolation from fluorescence produced by unbound species, making it suitable for real-time detection. Finally, we demonstrate the use of the platform in the real-time detection of 20-base oligonucleotides in solution.

Patent
27 Sep 2004
TL;DR: In this paper, a lower-layer resist pattern is constructed by adding a novolac resin having a fluorene or tetrahydrospiro biindene structure.
Abstract: PROBLEM TO BE SOLVED: To provide a material for forming a lower layer film, in particular a lower layer film for a two- or three-layer resist process, the material comprising a novolac resin having a fluorene or tetrahydrospiro biindene structure as a base and having higher etching durability than polyhydroxystyrene or cresol novolac resin, and also to provide a method for forming a pattern by using the material. SOLUTION: The material for forming a lower layer film is prepared by adding a novolac resin having a fluorene or tetrahydrospiro biindene structure. The material for forming a lower layer film has sufficient absorbance to develop a sufficient antireflection effect in ≥200 nm film thickness by combining with an intermediate layer having an antireflection effect if necessary. The etching rate of the material by CF 4 /CHF 3 gas and Cl 2 /BCl 3 gas used for processing a substrate is lower than in a normal m-cresol novolac resin, which means high etching durability. A favorable resist figure after patterning is obtained. COPYRIGHT: (C)2005,JPO&NCIPI