scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2006"


Journal ArticleDOI
TL;DR: A novel technique for reliable electrical injection into single semiconductor nanowires for light-emitting diodes and lasers is presented, demonstrated by constructing the first zinc oxide single-nanowire light- Emitting diode at room temperature.
Abstract: We present a novel technique for reliable electrical injection into single semiconductor nanowires for light-emitting diodes and lasers. The method makes use of a high-resolution negative electron-beam resist and direct electron-beam patterning for the precise fabrication of a metallic top contact along the length of the nanowire, while a planar substrate is used as a bottom contact. It can be applied to any nanowire structure with an arbitrary cross section. We demonstrate this technique by constructing the first zinc oxide single-nanowire light-emitting diode. The device exhibits broad sub-bandgap emission at room temperature.

552 citations


Journal ArticleDOI
TL;DR: Optically resonant metallic bowtie nanoantennas are utilized as fabrication tools for the first time, resulting in the production of polymer resist nanostructures <30 nm in diameter at record low incident multiphoton energy densities.
Abstract: Optically resonant metallic bowtie nanoantennas are utilized as fabrication tools for the first time, resulting in the production of polymer resist nanostructures <30 nm in diameter at record low incident multiphoton energy densities. The nanofabrication is accomplished via nonlinear photopolymerization, which is initiated by the enhanced, confined optical fields surrounding the nanoantenna. The position, size, and shape of the resist nanostructures directly correlate with rigorous finite-difference time-domain computations of the field distribution, providing a nanometer-scale measurement of the actual field confinement offered by single optical nanoantennas. In addition, the size of the photoresist regions yields strong upper bounds on photoacid diffusion and resist resolution in SU-8, demonstrating a technique that can be generalized to the study of many current and yet-to-be-developed photoresist systems.

375 citations


Patent
27 Nov 2006
TL;DR: In this paper, a copolymer of a hydroxy-containing vinylnaphthalene with hydroxy free olefins is used in forming a resist undercoat, which has high transparency and optimum values of n and k so that it functions as an antireflective coating during short-wavelength exposure.
Abstract: In the lithographic multilayer resist process, a material comprising a copolymer of a hydroxy-containing vinylnaphthalene with hydroxy-free olefins is useful in forming a resist undercoat. The undercoat-forming material has a high transparency and optimum values of n and k so that it functions as an antireflective coating during short-wavelength exposure, and has etching resistance during substrate processing by etching.

183 citations


Patent
22 Jun 2006
TL;DR: In this article, the authors describe the use of patterned electrically conductive polymers as source drain and gate electrodes for light emitting diodes with anode and coated regions.
Abstract: Electronic devices having patterned electrically conductive polymers providing electrical connection thereto and methods of fabrication thereof are described Liquid crystal display cells are described having at least one of the electrodes providing a bias across the liquid crystal material formed from a patterned electrically conductive polymer Thin film transistors having patterned electrically conductive polymers as source drain and gate electrodes are described Light emitting diodes having anode and coated regions formed from patterned electrically conductive polymers are described Methods of patterning using a resist mask; patterning using a patterned metal layer; patterning the metal layer using a resist; and patterning the electrically conductive polymer directly to form electrodes and anode and cathode regions are described

167 citations


Patent
Mehul Naik1
18 Jul 2006
TL;DR: In this paper, a dual damascene structure is fabricated on a substrate using a low-k dielectric material layer to a desired etch depth to form a trench prior to forming a via, and then a bottom etch stop layer on the bottom of the vias is then etched and the organic fill material is striped.
Abstract: The invention provides methods and apparatuses for fabricating a dual damascene structure on a substrate First, trench lithography and trench patterning are performed on the surface of a substrate to etch a low-k dielectric material layer to a desired etch depth to form a trench prior to forming of a via The trenches can be filled with an organic fill material and a dielectric hard mask layer can be deposited Then, via lithography and via resist pattering are performed Thereafter, the dielectric hard mask and the organic fill material are sequentially etched to form vias on the surface of the substrate, where the trenches are protected by the organic fill material from being etched A bottom etch stop layer on the bottom of the vias is then etched and the organic fill material is striped As a result, the invention provides good patterned profiles of the via and trench openings of a dual damascene structure

152 citations


Journal ArticleDOI
TL;DR: Hydrogen silsesquioxane has been identified as a superior resist for p-beam writing, allowing the production of high-aspect-ratio structures down to 22 nm.
Abstract: Proton beam writing (p-beam writing) is a promising new direct-write lithographic technique for three-dimensional nanofabrication. In p-beam writing a megaelectronvolt proton beam is focused to a sub-100-nm spot size and scanned over a suitable resist material. Unlike electrons, when a proton beam interacts with resist it follows an almost straight path resulting in high aspect ratio structures with vertical, smooth sidewalls. The secondary electrons induced by the primary proton beam have low energy and therefore limited range, resulting in minimal proximity effects. Hydrogen silsesquioxane has been identified as a superior resist for p-beam writing, allowing the production of high-aspect-ratio structures down to 22 nm.

118 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of cold development on the improvement in patterning resolution and line edge roughness for electron-beam lithography resists is discussed and a discussion on why this works for resists like ZEP 520 and PMMA and not for positive chemically amplified resists (such as UV113) is presented.
Abstract: This article presents the results about the effect of cold development on the improvement in patterning resolution and line edge roughness for electron-beam lithography resists. Cold development shows improved resolution and contrast for resists that are exposed by polymer chain scission. It does not work for chemically amplified resists. A discussion on why this works for resists like ZEP 520 and PMMA and not for positive chemically amplified resists (such as UV113) is presented. Results for 13 nm structures obtained after metal liftoff using a 30 kV e-beam tool using ZEP 520 resist are shown. These results have impact in the photomask industry and other manufacturers that require squeezing out as much resolution out of their existing tools and materials. It is found that, even with the improvement by cold development, there is a “shot noise” of 2% uncertainty limit that is not surpassed for resists exposed at 100kV. This explains why high throughput and high resolution electron-beam nanolithography is n...

116 citations


Journal ArticleDOI
TL;DR: In this paper, a series of photoresists constructed from glass-forming, low-molecular-weight organic compounds, also known as molecular glasses, were designed and synthesized for study in advanced lithography.
Abstract: This paper describes a series of photoresists constructed from glass-forming, low-molecular-weight organic compounds, also known as molecular glasses Compared with traditional polymeric resists, molecular glass resists are composed of smaller and more-uniform molecular building blocks In this work, both positive-tone and negative-tone molecular glass photoresists with a range of core structures were designed and synthesized for study in advanced lithography These molecular glass resists have asymmetric, rigid cores, which is important for producing glassy materials with glass transition temperatures substantially above room temperature For positive-tone molecular glass photoresists, amorphous films could be obtained by partial protection of the core structure Images were produced using photoacid-generator-catalyzed deprotection chemistry Amorphous negative-tone resists were obtained by mixing molecular glass core structures with another minor resist component such as a photo cross-linker It was sho

111 citations


Journal ArticleDOI
TL;DR: In this paper, 3D fine micromesh structures were seamlessly integrated into the SU-8 sealed microchannel to eliminate gap formation and filling of the microchannel, the built-in micromeshes in the micro channel were formed by photolithography after bonding the top cover layer and the bottom substrate.
Abstract: This paper describes the fabrication method of an all SU-8 microfluidic device with built-in 3D fine micromesh structures. 3D micromesh structures were seamlessly integrated into the SU-8 sealed microchannel. To eliminate gap formation and filling of the microchannel, the built-in micromeshes in the microchannel were formed by photolithography after bonding the SU-8 top-cover layer and the SU-8 bottom substrate. The lift-off method, using lift-off resist as a sacrificial layer, was utilized to release the all SU-8 microfluidic chips. Monolithic SU-8 structures realize uniform physical and chemical surface properties required in microfluidic devices for practical use. As an application, fragmentation of a water droplet in an organic carrier formed by a two-phase flow was demonstrated.

109 citations


Patent
02 Aug 2006
TL;DR: In this article, a method for separately calibrating an optical model and a resist model of the lithography process using information derived from in-situ aerial image measurements is proposed.
Abstract: A method for separately calibrating an optical model and a resist model of lithography process using information derived from in-situ aerial image measurements to improve the calibration of both the optical model and the resist model components of the lithography simulation model Aerial images produced by an exposure tool are measured using an image sensor array loaded into the exposure tool Multiple embodiments of measuring aerial image information and using the measured aerial image information to calibrate the optical model and the resist model are disclosed The method of the invention creates more accurate and separable optical and resist models, leading to better predictability of the pattern transfer process from mask to wafer, more accurate verification of circuit patterns and how they will actually print in production, and more accurate model-based process control in the wafer fabrication facility

108 citations


Patent
24 May 2006
Abstract: PROBLEM TO BE SOLVED: To provide a resist underlayer film material which is for a multilayer resist process, especially for a double layer resist process or a triple layer resist process, which functions as an excellent antireflection film especially against exposure with short wavelength light, that is, having high transparency and most suitable n and k values, and further is excellent in etching resistance in substrate working. SOLUTION: The resist underlayer film material which is for a multilayer resist film to be used in lithography, and is characterized by containing at least a compound having a bisnaphthol group and a novolac resin produced therefrom, is provided. COPYRIGHT: (C)2007,JPO&INPIT

Patent
13 Jul 2006
TL;DR: In this paper, the use of a laser to remove surface contamination and oxidation from a ball grid array substrate was proposed, which can be configured to cover the entire substrate or pinpointed to the epoxy molding compound/solder resist (EMC/SR) interfaces.
Abstract: The present invention relates to the use of a laser to remove surface contamination and oxidation from a ball grid array substrate. The laser etching can be configured to cover the entire substrate or pinpointed to the epoxy molding compound/solder resist (EMC/SR) interfaces. Additionally, a laser can be used to roughen the surface of a substrate to provide better adhesion when attaching the die to the substrate.

Journal ArticleDOI
TL;DR: Finite-difference time-domain simulations of the interference on a thin resist layer show that broad-beam illumination with a p-polarized light at a wavelength of 441 nm can produce features as small as 60 nm with high contrast, smaller than lambda/7.
Abstract: Large-area surface-plasmon polariton (SPP) interference lithography is presented, which uses an attenuated total reflection-coupling mode to excite the interference of the SPPs. The interference of the SPPs causes a highly directional intensity range in a finite depth of the electric field, which is good for noncontact. Finite-difference time-domain simulations of the interference on a thin resist layer show that broad-beam illumination with a p-polarized light at a wavelength of 441 nm can produce features as small as 60 nm with high contrast, smaller than λ/7. Our results illustrate the potential for patterning periodic structures over large areas at low cost.

Journal ArticleDOI
TL;DR: In this article, the authors investigated the influence of soft baking temperature on the lithographic performance of the negative photoresist SU-8, and found that soft baking at 65 °C proved superior with respect to all the inspected properties, providing a sample showing full resolution of 3.8 µm wide trenches and no stress-related cracking.
Abstract: This paper presents the results of an investigation of the influence of soft baking temperature on the lithographic performance of the negative photoresist SU-8. The work was initiated in order to obtain a lithographic resolution suitable for integration of diffractive optical components for near-infrared wavelengths. The study was carried out on 40 µm SU-8 layers on thermally oxidized silicon wafers, a widespread platform for integration of microfluidic systems and waveguides. A series of experiments covering soft bake temperatures in the range 65–115 °C were performed under otherwise identical processing conditions. The influence of the soft bake temperature on polymerization temperature as well as cracking, lithographic resolution and hardness of the resist was investigated. The kinetics of the polymerization process were observed to change with soft bake temperature, leading to changes in sensitivity and contrast of the resist, as well as changes in the material strength of the developed structures. Soft baking at 65 °C proved superior with respect to all the inspected properties, providing a sample showing full resolution of 3.8 µm wide trenches and no stress-related cracking.

Journal ArticleDOI
TL;DR: In this paper, the number of acid molecules generated by an extreme ultraviolet (EUV) photon was evaluated using an acid sensitive dye, and the observed acid yield was well explained by the ionization model for acid generation originally proposed for chemically amplified electron beam resists.
Abstract: A highly sensitive extreme ultraviolet (EUV) resist is strongly needed to reduce the development costs of high power EUV sources. Although highly sensitive chemically amplified resists based on acid-catalyzed reactions have been used in mass production lines, the chain length of acid catalytic reactions must be suppressed within several nanometers to meet the resolution requirement below the 32nm technology node. Under such circumstances, the initial acid yield produced by EUV exposure is critical to the formation of ultrafine patterns. Using an acid sensitive dye, the authors evaluated the number of acid molecules generated by an EUV photon. The observed acid yield was well explained by the ionization model for acid generation originally proposed for chemically amplified electron beam resists.

Patent
26 Oct 2006
TL;DR: In this paper, the pattern forming method is carried out by irradiating a work coated with a resist with exposure light through a mask in a plurality of shots, developing and etching the work and inspecting the formed pattern, wherein the method includes a step of inspecting the mask after the exposure and development processes and before the etching process.
Abstract: PROBLEM TO BE SOLVED: To prevent a series of works from being made defficient by a mask defect by reliably detecting the presence or absence of a defect in the mask SOLUTION: The pattern forming method is carried out by irradiating a work coated with a resist with exposure light through a mask in a plurality of shots, developing and etching the work and inspecting the formed pattern, wherein the method includes a step of inspecting the mask after the exposure and development processes and before the etching process Resist patterns corresponding to at least two exposure shots are extracted, and the resist pattern corresponding to at least one shot is compared with a master pattern as an inspection reference so as to inspect whether a defect is present in the resist pattern or not and to extract a defective portion Then the other resist pattern is inspected whether a defect is present in the same portion as the above defective portion or not, and if the defect is present in the same portion, the mask used for exposure is determined as defective COPYRIGHT: (C)2007,JPO&INPIT

Journal ArticleDOI
TL;DR: In this paper, the authors used atomic layer deposition (ALD) to create high-index TiO2 inverted replicas of holographically defined photonic crystals, followed by removal of the polymeric template by plasma etching.
Abstract: The demonstration of a practical technology for 3D optical microfabrication is a vital step in the development of photonic-crystal-based optical signal processing. [1] However, the extension of the optical methods that dominate integrated electronic circuit fabrication to three dimensions is a formidable materials-processing challenge: such a process must be capable not only of sub-micrometer pattern definition in three dimensions, but also of the transfer of this pattern into a homogeneous dielectric with an appropriately high refractive index. In a companion paper, [2] we show that two optical methods, holographic lithography [3] and direct two-photon laser writing, [4–6] can be combined to create a rapid and flexible method for the definition of photonic crystal device structures in photoresist. In this communication, we report a further essential step towards the creation of devices operating within a full photonic bandgap: we have used atomic layer deposition (ALD), itself an established semiconductor processing technique, to create high-index TiO2 inverted replicas of holographically defined photonic crystals, followed by removal of the polymeric template by plasma etching. A range of techniques for 3D optical lithography has been demonstrated. A 3D photonic crystal structure can be written by holographic lithography, [3] which makes use of a periodic interference pattern generated by a multiple-beam interferometer to expose a thick layer of photoresist. 3D microstructures, both periodic and aperiodic, can also be generated by point-by-point exposure of the resist by two-photon absorption at a laser focus. [4–7] Two-photon laser writing is a serial process; point-by-point fabrication of a 3D photonic crystal is necessarily slower than holographic lithography, which is capable of defining the entire periodic structure in a single laser pulse. [3] The two techniques are complementary: two-photon laser writing can be used to modify a holographic exposure. [8] We have shown that, by imaging the distribution of photochemical change induced by holographic exposure, it is possible to align a subsequent two-photon exposure with the 3D photonic crystal lattice to achieve the precise registration that is required of a device structure embedded in a 3D photonic crystal. [2] This hybrid technique is rapid and flexible, but the polymeric resists used for 3D microfabrication have refractive indices n in the range 1.4–1.6, which is too low for most device applications. Devices based on waveguides and microcavities embedded within a photonic crystal [1] are designed to operate at frequencies within a complete (omnidirectional) photonic bandgap in order to suppress radiative loss; [9] to create a complete photonic bandgap, even in an optimized air-dielectric structure, a refractive contrast of at least 1.9 is necessary. [10–12]

Journal ArticleDOI
TL;DR: In this article, high resolution 100kV electron beam lithography in thin layers of the negative resist SU-8 is demonstrated, where sub-30nm lines with a pitch down to 300nm are written in 100nm-thick negative resist.

Patent
30 Nov 2006
TL;DR: In this article, an inner wall oxide film and an SOI layer is used to etch the resist and trench mask, and the trench for full isolation is formed by applying CMP treatment which used the silicon nitride film as the polishing stopper.
Abstract: Even if the insulated isolation structure which makes element isolation using partial and full isolation combined use technology is manufactured, the manufacturing method of a semiconductor device which can manufacture the semiconductor device with which characteristics good as a semiconductor element formed in the SOI layer where insulated isolation was made are obtained is obtained. Etching to an inner wall oxide film and an SOI layer is performed by using as a mask the resist and trench mask which were patterned, and the trench for full isolation which penetrates an SOI layer and reaches an embedded insulating layer is formed. Although a part of CVD oxide films with which the resist is not formed in the upper part are removed at this time, since a silicon nitride film is protected by the CVD oxide film, the thickness of a silicon nitride film is kept constant. Then, after removing the resist and depositing an isolation oxide film on the whole surface, an isolation oxide film is flattened in good thickness precision in the height specified by the thickness of a silicon nitride film by performing CMP treatment which used the silicon nitride film as the polishing stopper.

Patent
02 Mar 2006
TL;DR: In this paper, a patterned resist film was used as a mask to remove the deposits accumulated inside a processing chamber during the step of etching the target object by using a processing gas containing at least an O 2 gas, and ashing the resist film by using processing gas with at least O 2 2 gas.
Abstract: A plasma processing method includes the steps of etching the target object with a CF-based processing gas by using a patterned resist film as a mask, removing deposits accumulated inside a processing chamber during the step of etching the target object by using a processing gas containing at least an O 2 gas, and ashing the resist film by using a processing gas containing at least an O 2 gas. Relevant places in the processing chamber from which the deposits are removed are heated in the step of removing the deposits.

Journal ArticleDOI
TL;DR: In this article, a series of tert-butyloxycarbonyl (t-Boc) protected C-4-hydroxyphenyl-calix[4]resorcinarenes derivatives were synthesized and evaluated as positive tone molecular glass resists for EUV lithography.
Abstract: Extreme ultra violet (EUV) lithography is one of the most promising next generation lithographic techniques for the production of sub-50 nm feature sizes with applications in the semiconductor industry. Coupling this technique with molecular glass resists is an effective strategy for high resolution lithographic patterning. In this study, a series of tert-butyloxycarbonyl (t-Boc) protected C-4-hydroxyphenyl-calix[4]resorcinarenes derivatives were synthesized and evaluated as positive tone molecular glass resists for EUV lithography. The amorphous nature of these molecules was confirmed using thermal analysis, FTIR and powder X-ray diffraction. Feature sizes as small as 30 nm with low line edge roughness (4.5 nm, 3σ) were obtained after patterning and development.

Proceedings ArticleDOI
10 Mar 2006
TL;DR: In this article, various issues in double patterning lithography such as pattern decomposition, resist process on patterned topography, process window of 1/4 pitch patterning, and overlay dependent CD variation are studied on positive and negative tone double patterns respectively.
Abstract: Double patterning lithography is very fascinating way of lithography which is capable of pushing down the k1 limit below 0.25. By using double patterning lithography, we can delineate the pattern beyond resolution capability. Target pattern is decomposed into patterns within resolution capability and decomposed patterns are combined together through twice lithography and twice etch processes. Two ways, negative and positive, of doing double patterning process are contrived and studied experimentally. In this paper, various issues in double patterning lithography such as pattern decomposition, resist process on patterned topography, process window of 1/4 pitch patterning, and overlay dependent CD variation are studied on positive and negative tone double patterning respectively. Among various issues about double patterning, only the overlay controllability and productivity seemed to be dominated as visible obstacles so far.

Patent
Yoichiro Kurita1
02 Feb 2006
TL;DR: In this article, a planar semiconductor device with electrodes on both surfaces is disclosed, where the end surfaces of the conductive posts are exposed from the insulating resin and are used as first planar electrodes.
Abstract: A thin, planar semiconductor device having electrodes on both surfaces is disclosed. This semiconductor device is provided with an IC chip and a wiring layer having one side that is electrically connected to surface electrodes of the IC chip. On this surface of the wiring layer, conductive posts are provided on wiring of the wiring layer, and an insulating resin covers all portions not occupied by the IC chip and conductive posts. The end surfaces of the conductive posts are exposed from the insulating resin and are used as first planar electrodes. In addition, a resist layer is formed on the opposite surface of the wiring layer. Exposed portions are formed in the resist layer to expose desired wiring portions of the wiring layer. These exposed portions are used as second planar electrodes. Stacking semiconductor devices of this construction enables an improvement in the integration of semiconductor integrated circuits.

Journal ArticleDOI
TL;DR: It is shown by modeling that the point-spread-function compression achieved via AMOL depends only on the absorbance distribution in the photostationary state, which represents an optical nonlinearity that depends on the intensity ratio of lambda1 and lambda2 and not on the absolute intensity of either one alone.
Abstract: We describe a new mode of optical lithography called absorbance-modulation optical lithography (AMOL) in which a thin film of photochromic material is placed on top of a conventional photoresist and illuminated simultaneously by a focal spot of wavelength lambda1 and a ring-shaped illumination of wavelength lambda2. The lambda1 radiation converts the photochromic material from an opaque to a transparent configuration, thereby enabling exposure of the photoresist, while the lambda2 radiation reverses the transformation. As a result of these competing effects, the point-spread function that exposes the resist is strongly compressed, resulting in higher photolithographic resolution and information density. We show by modeling that the point-spread-function compression achieved via AMOL depends only on the absorbance distribution in the photostationary state. In this respect, absorbance modulation represents an optical nonlinearity that depends on the intensity ratio of lambda1 and lambda2 and not on the absolute intensity of either one alone. By inserting material parameters into the model, a lithographic resolution corresponding to lambda1/13 is predicted.

Patent
28 Jul 2006
TL;DR: In this article, a method and apparatus for process integration in the manufacture of a photomask is described, including a vacuum transfer chamber coupled with at least one hard mask deposition chamber and a plasma chamber configured for etching chromium.
Abstract: A method and apparatus for process integration in manufacture of a photomask are disclosed. In one embodiment, a cluster tool suitable for process integration in manufacture of a photomask including a vacuum transfer chamber having coupled thereto at least one hard mask deposition chamber and at least one plasma chamber configured for etching chromium. In another embodiment, a method for process integration in manufacture of a photomask includes depositing a hard mask on a substrate in a first processing chamber, depositing a resist layer on the substrate, patterning the resist layer, etching the hard mask through apertures formed in the patterned resist layer in a second chamber; and etching a chromium layer through apertures formed in the hard mask in a third chamber.

Journal ArticleDOI
TL;DR: In this paper, the authors formulated acid generation in a chemically amplified resist for ionizing radiation and analyzed the dependence of acid yield on acidgenerator concentration, the details of acid generation paths were clarified.
Abstract: Acid-related matters are a critical issue in a chemically amplified resist, in which photo- or radiation (ionizing radiation)-generated acids drive pattern formation reactions in exposed areas. The photosensitization of resist materials has been formulated by Dill et al. [IEEE Trans. Electron. Dev.22, 445 (1975)]. The applicability of the formulation by Dill et al. to acidgeneration in chemically amplified photoresists has been proven by many researchers. The acid yields in photoresists are predicted well by the formulation of Dill et al. However, the formulation of Dill et al. cannot be applied to chemically amplified resists for ionizing radiation such as electron beams and extreme ultraviolet rays because polymerionization significantly contributes to acidgeneration in these resists. In this study, the authors formulated acidgeneration in a chemically amplified resist for ionizing radiation. By the analysis of the dependence of acid yield on acidgenerator concentration, the details of acidgeneration paths were clarified.

Journal ArticleDOI
TL;DR: Molecular glass resists as discussed by the authors are low molecular-weight organic photoresist materials that readily form stable amorphous glasses above room temperature and can produce fine features as small as 35 nm line/space patterns using either extreme UV or electron beam lithography.
Abstract: Molecular glass resists are low molecular-weight organic photoresist materials that readily form stable amorphous glasses above room temperature. We have created new families of molecular glass materials that function as both positive and negative resists capable of producing fine features as small as 35 nm line/space patterns using either extreme UV (13.4 nm) or electron beam lithography. These molecular glass resists have promising properties and are being considered as alternative choices to polymeric resists for next generation photoresist design.

Journal ArticleDOI
TL;DR: In this paper, 1-alkenes and 1alkynes are investigated for a new class of monolayer resists formed on the hydrogen-terminated surfaces of both germanium and silicon.
Abstract: In this paper, 1-alkenes and 1-alkynes are investigated for a new class of monolayer resists formed on the hydrogen-terminated surfaces of both germanium and silicon A series of 1-alkenes and 1-alkynes with different chain lengths are explored as deactivating agents for atomic layer deposition of HfO2 and Pt films It is shown that to achieve satisfactory blocking of atomic layer deposition, densely packed, highly hydrophobic monolayers must be formed A mechanism for the film formation and blocking processes is discussed and compared with that of alkylsilane-based self-assembled monolayer resists

Patent
08 Sep 2006
TL;DR: In this article, a method for selecting and optimizing an exposure tool using an individual mask error model is described. But the model of the lithography process and the individual mask errors are not considered.
Abstract: Methods are disclosed for selecting and optimizing an exposure tool using an individual mask error model. In one embodiment, a method includes selecting a model of a lithography process including an optical model of an exposure tool and a resist model, creating an individual mask error model representing a mask manufactured using mask layout data, simulating the lithography process using the model of the lithography process and the individual mask error model to produce simulated patterns, determining differences between the simulated patterns and a design target, and optimizing settings of the exposure tool based on the differences between the simulated patterns and the design target.

Patent
06 Mar 2006
TL;DR: In this paper, a plurality of sub-composite structures with plating resist deposited in varying locations are laminated to form a PCB stackup of a desired PCB design, which can then be plated simultaneously by placing the PCB panel into a seed bath, followed by immersion in an electroless copper bath.
Abstract: Systems and methods for simultaneously partitioning a plurality of via structures into electrically isolated portions by using plating resist within a PCB stackup are disclosed. Such via structures are made by selectively depositing plating resist in one or more locations in a sub-composite structure. A plurality of sub-composite structures with plating resist deposited in varying locations are laminated to form a PCB stackup of a desired PCB design. Through-holes are drilled through the PCB stackup through conductive layers, dielelectric layers and through the plating resist. Thus, the PCB panel has multiple through-holes that can then be plated simultaneously by placing the PCB panel into a seed bath, followed by immersion in an electroless copper bath. Such partitioned vias increase wiring density and limit stub formation in via structures. Such partitioned vias allow a plurality of electrical signals to traverse each electrically isolated portion without interference from each other.