scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2011"


Journal ArticleDOI
11 Jan 2011-ACS Nano
TL;DR: This is the first application of enzyme-substrate reaction to sandwich immunoassay-based LSPR biosensors that previously suffered from a low sensitivity due to the short penetration depth of the plasmon field, especially when large-sized antibodies were used as bioreceptors.
Abstract: We describe the fabrication of elliptical Au nanodisk arrays as a localized surface plasmon resonance (LSPR) sensing substrate for clinical immunoassay via thermal nanoimprint lithography (NIL) and enhancement in the sensitivity of the detection of the prostate-specific antigen (PSA) using the precipitation of 5-bromo-4-chloro-3-indolyl phosphate p-toluidine/nitro blue tetrazolium (BCIP/NBT), catalyzed by alkaline phosphatase. Au nanodisks were fabricated on glass through an unconventional tilted evaporation, which could preserve the thickness of imprinted resists and create an undercut beneficial to the subsequent lift-off process without any damage to pattern dimension and the glass while removing the residual polymers. To investigate the optically anisotropic property of the LSPR sensors, a probe light with linear polarization parallel to and perpendicular to the long axis of the elliptical nanodisk array was utilized, and their sensitivity to the bulk refractive index (RI) was measured as 327 and 167 ...

264 citations


Journal ArticleDOI
22 Aug 2011-ACS Nano
TL;DR: With this method, densely packed gold nanostructures of varying geometries separated by ultrasmall gaps are fabricated by controlling structure sizes during lithography with nanometer precision, so the plasmon resonances of the resulting patterns could be accurately tuned.
Abstract: Nanoscale gaps in metal films enable strong field enhancements in plasmonic structures. However, the reliable fabrication of ultrasmall gaps (<10 nm) for real applications is still challenging. In this work, we report a method to directly and reliably fabricate sub-10-nm gaps in plasmonic structures without restrictions on pattern design. This method is based on a lift-off process using high-resolution electron-beam lithography with a negative-tone hydrogen silsesquioxane (HSQ) resist, where the resulting nanogap size is determined by the width of the patterned HSQ structure, which could be written at less than 10 nm. With this method, we fabricated densely packed gold nanostructures of varying geometries separated by ultrasmall gaps. By controlling structure sizes during lithography with nanometer precision, the plasmon resonances of the resulting patterns could be accurately tuned. Optical and surface-enhanced Raman scattering (SERS) measurements on the patterned structures show that this technique has ...

236 citations


Journal ArticleDOI
TL;DR: In this paper, an organic film-based image is produced, which is subsequently transferred by plasma etching techniques into underlying films/substrates to produce nanoscale materials templates.
Abstract: Photolithographic patterning of organic materials and plasma-based transfer of photoresist patterns into other materials have been remarkably successful in enabling the production of nanometer scale devices in various industries. These processes involve exposure of highly sensitive polymeric nanostructures to energetic particle fluxes that can greatly alter surface and near-surface properties of polymers. The extension of lithographic approaches to nanoscale technology also increasingly involves organic mask patterns produced using soft lithography, block copolymer self-assembly, and extreme ultraviolet lithographic techniques. In each case, an organic film-based image is produced, which is subsequently transferred by plasma etching techniques into underlying films/substrates to produce nanoscale materials templates. The demand for nanometer scale resolution of image transfer protocols requires understanding and control of plasma/organic mask interactions to a degree that has not been achieved. For manufa...

174 citations


Journal ArticleDOI
TL;DR: In this article, the etch resistance of electron-beam lithography resists, poly(methyl methacrylate) (PMMA) and ZEP520A, is increased significantly by sequential infiltration synthesis (SIS).
Abstract: The etch resistance of electron-beam lithography resists, poly(methyl methacrylate) (PMMA) and ZEP520A, is increased significantly by sequential infiltration synthesis (SIS). This process infiltrates the bulk of the resist film with alumina, rendering it resistant to plasma etching. The enhanced etch resistance eliminates the need for an intermediate hard mask and the associated process costs and pattern fidelity losses. Furthermore, the improvement is realized with no degradation to the line-edge roughness of lithographically defined patterns. The enhancement in etch resistance is especially strong at the edges of the printed lines, owing to diffusion of the SIS precursors from the resist sidewalls. These improvements enable the anisotropic transfer of sub-100 nm patterns deeply into silicon without the need for an intermediate hard mask.

156 citations


Journal ArticleDOI
TL;DR: In this paper, the authors provide a survey of conventional and unconventional lithography techniques used to fabricate complex micro-and nano-structured surfaces, including printing, molding, and embossing.

149 citations


Journal ArticleDOI
TL;DR: By carefully examining the different loss mechanisms in polymer micro-ring, it is found that the surface scattering loss can be as low as 0.23 dB/cm, much smaller than the absorption loss of the polystyrene polymer used in these devices.
Abstract: Smooth sidewall silicon micro-ring molds have been fabricated using resist reflow and thermal oxidation method. High Q factor polymer micro-ring resonators have been fabricated using these molds. Quality factors as high as 105 have been measured at telecommunication wavelength range. By carefully examining the different loss mechanisms in polymer micro-ring, we find that the surface scattering loss can be as low as 0.23 dB/cm, much smaller than the absorption loss of the polystyrene polymer used in our devices. When used as an ultrasound detector such a high Q polymer micro-ring device can achieve an acoustic sensitivity around 36.3 mV/kPa with 240 μW operating power. A noise equivalent pressure (NEP) is around 88 Pa over a bandwidth range of 1–75 MHz. We have improved the NEP by a factor of 3 compared to our previous best result.

136 citations


Patent
08 Mar 2011
TL;DR: In this article, a method of forming an energy assisted magnetic recording (EAMR) writer is described, which consists of a bottom cladding layer and a near field transducer (NFT).
Abstract: A method of forming an energy assisted magnetic recording (EAMR) writer is disclosed. A structure comprising a bottom cladding layer and a near field transducer (NFT) is provided. A patterned sacrificial layer is formed over the structure. A top cladding layer is deposited over the patterned sacrificial layer and a remaining region of the structure not covered by the patterned sacrificial layer. A patterned resist is formed over the top cladding layer. A first etching operation is performed on the top cladding layer via the patterned resist, whereby a top cladding having a sloped region is formed. The patterned sacrificial layer provides an etch stop for the first etching operation.

132 citations


Patent
15 Sep 2011
TL;DR: In this article, a composition for forming an EUV resist overlayer film that does not intermix with the resist, that blocks unfavorable exposure light for EUV exposure, for example, UV light and DUV light and selectively transmits EUV light alone, and that can be developed with a developer after exposure.
Abstract: There is provided a composition for forming an EUV resist overlayer film that is used in an EUV lithography process, that does not intermix with the EUV resist, that blocks unfavorable exposure light for EUV exposure, for example, UV light and DUV light and selectively transmits EUV light alone, and that can be developed with a developer after exposure A composition for forming an EUV resist overlayer film used in an EUV lithography process including a resin containing a naphthalene ring in a main chain or in a side chain and a solvent, in which the resin may include a hydroxy group, a carboxy group, a sulfo group, or a monovalent organic group having at least one of these groups as a hydrophilic group

125 citations


Journal ArticleDOI
TL;DR: The results demonstrate the high quality of the junction obtained by this bridge-free technique, and the phase qubit coherence times, extracted from spectroscopy resonance width, Rabi and Ramsey oscillation decays and energy relaxation measurements, are longer than the ones obtained by standard techniques.
Abstract: We present a novel shadow evaporation technique for the realization of junctions and capacitors. The design by e-beam lithography of strongly asymmetric undercuts on a bilayer resist enables in situ fabrication of junctions and capacitors without the use of the well-known suspended bridge (Dolan 1977 Appl. Phys. Lett. 31 337-9). The absence of bridges increases the mechanical robustness of the resist mask as well as the accessible range of the junction size, from 10(-2) µm(2) to more than 10(4) µm(2). We have fabricated Al/AlO(x)/Al Josephson junctions, phase qubit and capacitors using a 100 kV e-beam writer. Although this high voltage enables a precise control of the undercut, implementation using a conventional 20 kV e-beam is also discussed. The phase qubit coherence times, extracted from spectroscopy resonance width, Rabi and Ramsey oscillation decays and energy relaxation measurements, are longer than the ones obtained in our previous samples realized by standard techniques. These results demonstrate the high quality of the junction obtained by this bridge-free technique.

116 citations


Journal ArticleDOI
TL;DR: The current status of basic photolithographic techniques allowing researchers to achieve results that seemed to be unrealistic even a short time ago is reviewed in this paper, where the prospects for further advancement of photolithography into the nanometer range are analyzed.
Abstract: The current status of basic photolithographic techniques allowing researchers to achieve results that seemed to be unrealistic even a short time ago is reviewed. For example, advanced DUV photolithography makes it possible to exactly reproduce IC elements 25 times smaller in size than the wavelength of an excimer laser used as a lithographic tool. Approaches owing to which optical lithography has pushed far beyond the Rayleigh-Abbe diffraction limit are considered. Among them are optical proximity correction, introduction of an artificial phase shift, immersion, double exposure, double patterning, and others. The prospects for further advancement of photolithography into the nanometer range are analyzed, and the capabilities of photolithography are compared with those of electronolithography, EUV lithography, and soft X-ray lithography

107 citations


Journal ArticleDOI
TL;DR: The fabrication of high-Q polymeric microdisks on silicon via direct laser writing utilizing two-photon absorption induced polymerization using flexible three-dimensional lithography method, useful for rapid prototyping of active and passive optical microcavities.
Abstract: We report the fabrication of high-Q polymeric microdisks on silicon via direct laser writing utilizing two-photon absorption induced polymerization. The quality factors of the passive cavities are above 106 in the 1300 nm wavelength region. The flexible three-dimensional (3D) lithography method allows for the fabrication of different cavity thicknesses on the same substrate, useful for rapid prototyping of active and passive optical microcavities. Microdisk lasers are realized by doping the resist with dye, resulting in laser emission at visible wavelengths.

Journal ArticleDOI
TL;DR: Since interlayer lithography builds on the existing expertise and equipment of conventional photolithography, it is easy to implement and fully compatible with fast, cost effective sheet-to-sheet processing for large-area electronics.
Abstract: patterning of molecular electrode materials and carbon nanotubes. The interlayer technique involves the insertion of a layer of photoresist between the substrate and the fi lm to be patterned. The resist layer is exposed through a mask, generating a pattern that can subsequently be developed after deposition of the target material. Immersion in an appropriate developer removes the soluble parts of the resist layer together with the overlying target material, leaving a patterned fi lm of the target material over a likewise patterned fi lm of the resist. The resist and the target material are in effect patterned simultaneously in an expose‐ deposit‐develop step sequence. Furthermore, this technique is compatible with the use of standard solution processing and mechanical transfer methods for deposition of the target fi lms. Since interlayer lithography builds on the existing expertise and equipment of conventional photolithography, it is easy to implement and fully compatible with fast, cost effective sheet-to-sheet processing for large-area electronics.

Journal ArticleDOI
22 Feb 2011-ACS Nano
TL;DR: The fabricated gold nanodots showed localized surface plasmon resonance in their extinction spectra in the visible range and demonstrate the potential of stencil lithography for the realization of plAsmon-based biosensing devices.
Abstract: The fabrication of gold nanodots by stencil lithography and its application for optical biosensing based on localized surface plasmon resonance are presented. Arrays of 50−200 nm wide nanodots with different spacing of 50−300 nm are fabricated without any resist, etching, or lift-off process. The dimensions and morphology of the nanodots were characterized by scanning electron and atomic force microscopy. The fabricated nanodots showed localized surface plasmon resonance in their extinction spectra in the visible range. The resonance wavelength depends on the periodicity and dimensions of the nanodots. Bulk refractive index measurements and model biosensing of streptavidin were successfully performed based on the plasmon resonance shift induced by local refractive index change when biomolecules are adsorbed on the nanodots. These results demonstrate the potential of stencil lithography for the realization of plasmon-based biosensing devices.

Journal ArticleDOI
TL;DR: In this paper, the formation of ultra-small device structures from the directed self-assembly of block copolymers (BCPs) where nanopatterns are formed from the micro-phase separation of the system was discussed.
Abstract: The advances in information and communication technologies have been largely predicated around the increases in computer processor power derived from the constant miniaturization (and consequent higher density) of individual transistors. Transistor design has been largely unchanged for many years and progress has been around scaling of the basic CMOS device. Scaling has been enabled by photolithography improvements (i.e. patterning) and secondary processing such as deposition, implantation, planarization, etc. Perhaps the most important of the secondary processes is the plasma etch methodology whereby the pattern created by lithography is 'transferred' to the surface via a selective etch to remove exposed material. However, plasma etch technologies face challenges as scaling continues. Maintaining absolute fidelity in pattern transfer at sub-16 nm dimensions will require advances in plasma technology (plasma sources, chamber design, etc) and chemistry (etch gases, flows, interactions with substrates, etc). In this paper, we illustrate some of these challenges by discussing the formation of ultra-small device structures from the directed self-assembly of block copolymers (BCPs) where nanopatterns are formed from the micro-phase separation of the system. The polymer pattern is transferred by a double etch procedure where one block is selectively removed and the remaining block acts as a resist pattern for silicon pattern transfer. Data are presented which shows that highly regular nanowire patterns of feature size below 20 nm can be created using etch optimization techniques and in this paper we demonstrate generation of crystalline silicon nanowire arrays with feature sizes below 8 nm. BCP techniques are demonstrated to be applicable from these ultra-small feature sizes to 40 nm dimensions. Etch profiles show rounding effects because etch selectivity in these nanoscale resist patterns is limited and the resist thickness rather low. The nanoscale nature of the topography generated also places high demands on developing new etch processes.

Journal ArticleDOI
Hong Lin1, Xia Wan1, Xuesong Jiang1, Qingkang Wang1, Jie Yin1 
TL;DR: In this article, a novel hybrid resist for UV nano-imprint lithography (UV-NIL) based on the thiol-ene photopolymerization is presented, which comprises mercaptopropyl polyhedral oligomeric silsesquioxane and benzyl methacrylate, with trimethylolpropane trimethacrylated as the crosslinker.
Abstract: A novel hybrid resist for UV nanoimprint lithography (UV-NIL) based on the thiol–ene photopolymerization is presented. Our system comprises mercaptopropyl polyhedral oligomeric silsesquioxane and benzyl methacrylate, with trimethylolpropane trimethacrylate as the crosslinker. The obtained hybrid resists possess a variety of characteristics desirable for UV-NIL, such as low viscosity (6.1–25 cP), low bulk-volumetric shrinkage (5.3%), high Young's modulus (0.9–5.2 GPa), high thermal stability, and excellent dry-etch resistance. Based on these performances, the optimized components are evaluated as UV-NIL resists. The result is a high-resolution pattern with feature sizes in the range of 100 nm to several microns. The double-layer resist approach is used for pattern transfer into silicon substrates. The excellent oxygen-etch resistance of the barrier material enables a final transfer pattern that is about three times higher than that of the original NIL mold.

Journal ArticleDOI
05 Sep 2011-Small
TL;DR: In this paper, the optical contrast of atomically thin mica flakes on top of a SiO2/Si substrate was quantitatively accounted for by a Fresnel-law-based model, and it was shown that such an all-dry deposition technique yields cleaner and higher quality flakes than conventional wet-transfer procedures based on lithographic resists.
Abstract: By mechanical exfoliation, it is possible to deposit atomically thin mica flakes down to single-monolayer thickness on SiO2/Si wafers. The optical contrast of these mica flakes on top of a SiO2/Si substrate depends on their thickness, the illumination wavelength, and the SiO2 substrate thickness, and can be quantitatively accounted for by a Fresnel-law-based model. The preparation of atomically thin insulating crystalline sheets will enable the fabrication of ultrathin, defect-free insulating substrates, dielectric barriers, or planar electron-tunneling junctions. Additionally, it is shown that few-layer graphene flakes can be deposited on top of a previously transferred mica flake. Our transfer method relies on viscoelastic stamps, as used for soft lithography. A Raman spectroscopy study shows that such an all-dry deposition technique yields cleaner and higher-quality flakes than conventional wet-transfer procedures based on lithographic resists.

Journal ArticleDOI
TL;DR: In this article, a shadow evaporation technique for the realization of junctions and capacitors is presented, where the design by E-beam lithography of strongly asymmetric undercuts on a bilayer resist enables in-situ fabrication of junction without the use of the well-known suspended bridge.
Abstract: We present a novel shadow evaporation technique for the realization of junctions and capacitors. The design by E-beam lithography of strongly asymmetric undercuts on a bilayer resist enables in-situ fabrication of junctions and capacitors without the use of the well-known suspended bridge[1]. The absence of bridges increases the mechanical robustness of the resist mask as well as the accessible range of the junction size, from 0.01 to more than 10000 micron square. We have fabricated Al/AlOx/Al Josephson junctions, phase qubit and capacitors using a 100kV E- beam writer. Although this high voltage enables a precise control of the undercut, implementation using a conventional 20kV E-beam is also discussed. The phase qubit coherence times, extracted from spectroscopy resonance width, Rabi and Ramsey oscillations decay and energy relaxation measurements, are longer than the ones obtained in our previous samples realized by standard techniques. These results demonstrate the high quality of the junction obtained by this controlled undercut technique.

Journal ArticleDOI
TL;DR: In this article, the etch resistance of two commonly used lithography resists is increased significantly by sequential infiltration synthesis (SIS) by exposing films to trimethyl-aluminum and water with long dosage times, which infiltrates the bulk of the film with alumina.
Abstract: Etch resistance of two commonly used lithography resists is increased significantly by sequential infiltration synthesis (SIS). Exposing films to trimethyl-aluminum and water with long dosage times infiltrates the bulk of the film with alumina, which renders them dramatically more resistant to plasma etching with no degradation to the patterns. Enhanced etch resistance eliminates the need for an intermediate hard mask and the concomitant costs and pattern fidelity losses. Moreover, by allowing for thinner resist films, this approach can improve the final pattern resolution.

Proceedings ArticleDOI
TL;DR: In this article, a metal oxide patternable hardmask was designed for EUV lithography, which is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon).
Abstract: This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity, metal-impurity content, outgassing, pattern transfer, and resist strip.

Journal ArticleDOI
Philip Paul1, Armin W. Knoll, Felix Holzner, Michel Despont, Urs T. Duerig 
TL;DR: A complete lithography and metrology system based on thermomechanical writing into organic resists capable of implementing rapid turnaround and carried out using a thermoelectric topography sensing method is presented.
Abstract: Scanning probe nanolithography (SPL) has demonstrated its potential in a variety of applications like 3D nanopatterning, 'direct development' lithography, dip-pen deposition or patterning of self-assembled monolayers. One of the main issues holding back SPL has been the limited throughput for patterning and imaging. Here we present a complete lithography and metrology system based on thermomechanical writing into organic resists. Metrology is carried out using a thermoelectric topography sensing method. More specifically, we demonstrate a system with a patterning pixel clock of 500 kHz, 20 mm s − 1 linear scan speed, a positioning accuracy of 10 nm, a read-back frequency bandwidth of 100 000 line-pairs s − 1 and a turnaround time from patterning to qualifying metrology of 1 min. Thus, we demonstrate a nanolithography system capable of implementing rapid turnaround.

Proceedings ArticleDOI
TL;DR: The major issue for the 22-nm half-pitch node remains simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements as discussed by the authors, although several materials have met the resolution requirements, LER and sensitivity remain a challenge.
Abstract: Although Extreme ultraviolet lithography (EUVL) is now well into the commercialization phase, critical challenges remain in the development of EUV resist materials. The major issue for the 22-nm half-pitch node remains simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements. Although several materials have met the resolution requirements, LER and sensitivity remain a challenge. As we move beyond the 22-nm node, however, even resolution remains a significant challenge. Chemically amplified resists have yet to demonstrate the required resolution at any speed or LER for 16-nm half pitch and below. Going to non-chemically amplified resists, however, 16-nm resolution has been achieved with a LER of 2 nm but a sensitivity of only 70 mJ/cm{sup 2}.

Journal ArticleDOI
TL;DR: In this article, a chemically amplified resist with a fullerene matrix was investigated by analyzing the dose-pitch matrices of line width and line edge roughness, and the effective reaction radius was 0.06 nm, which was smaller than those of polymer-type resists.
Abstract: The resist materials are evaluated using their resolution, line edge roughness (LER), and sensitivity. However, the evaluation of chemically amplified resists is tricky because of the trade-off relationships between resolution, LER, and sensitivity. In this study, we investigated a chemically amplified resist with a fullerene matrix by analyzing the dose-pitch matrices of line width and LER. The effective quencher concentration of the fullerene resist obtained by the analysis was higher than those of typical polymer-type resists. This suggests that the quantum efficiency of acid generation in the fullerene matrix is slightly lower than those of polymer-type resists. The effective reaction radius was 0.06 nm, which was smaller than those of polymer-type resists. The proportionality constant between LER and the chemical gradient of the fullerene resist was smaller than those of polymer-type resists, probably owing to its molecular size.

Patent
03 Mar 2011
TL;DR: In this article, a pattern-forming method is proposed to provide a pattern forming method useful for forming a fine pattern, which is based on applying a first chemically amplified positive resist composition on a support to form a first resist film, subject it to exposure, perform PEB, and perform alkali development to create the pattern.
Abstract: PROBLEM TO BE SOLVED: To provide a pattern forming method useful for forming a fine pattern. SOLUTION: The pattern forming method has: the step (1) of applying a first chemically amplified positive resist composition on a support to form a first resist film, subject it to exposure, perform PEB, and perform alkali development to form a first resist pattern; the step (2) of applying a second film forming material on the support, on which the first resist pattern is formed, to form a second film, subject a region containing the position where the first resist pattern is formed to exposure, perform PEB, and perform alkali development. As the second film forming material, a material which contains organic solvent that does not dissolve the first resist layer, and of which solubility does not increase in relation to an alkali developing agent with an amount of energy equal to or smaller than that of the first chemically amplified positive resist composition is used. In the step (2), the exposure amount and PEB temperature are set so that only the first resist pattern in the exposed region is removed by the alkali development. COPYRIGHT: (C)2011,JPO&INPIT

Journal ArticleDOI
TL;DR: It is demonstrated that periodic grating structures with almost µm-scale can be used to extract SPs as well as waveguide modes and therefore enhance the outcoupling efficiency in light-emitting thin film structures.
Abstract: Organic light-emitting diodes (OLEDs) usually exhibit a low light outcoupling efficiency because a large fraction of power is lost to surface plasmons (SPs) and waveguide modes. In this paper it is demonstrated that periodic grating structures with almost µm-scale can be used to extract SPs as well as waveguide modes and therefore enhance the outcoupling efficiency in light-emitting thin film structures. The gratings are fabricated by nanoimprint lithography using a commercially available diffraction grating as a mold which is pressed into a polymer resist. The outcoupling of SPs and waveguide modes is detected in fluorescent organic films adjacent to a thin metal layer in angular dependent photoluminescence measurements. Scattering up to 5th-order is observed and the extracted modes are identified by comparison to the SP and waveguide dispersion obtained from optical simulations. In order to demonstrate the low-cost, high quality and large area applicability of grating structures in optoelectronic devices, we also present SP extraction using a grating structure fabricated by a common DVD stamp.

Journal ArticleDOI
TL;DR: It is shown that acid treatment can cleave the backbone of the polyurea film at positions where the acid-labile groups are embedded and the approach presents a new way to make molecularly designed resist films for lithography.
Abstract: Photoresist materials comprise one of the main challenges faced by lithography to meet the requirements of electronic device size scaling. Here we report for the first time the use of molecular layer deposition (MLD) to produce photoresist materials with controllable placement of functional moieties. Polyurea resists films are deposited by MLD using urea coupling reactions between 1,4-phenylene diisocyanate (PDIC) and ethylenediamine (ED) or 2,2′-(propane-2,2-diylbis(oxy))diethanamine (PDDE) monomers in a layer-by-layer fashion with a linear growth rate, allowing acid-labile groups to be incorporated into the film at well-controlled positions. The films are deposited with stoichiometric compositions and have highly uniform surface morphology as investigated using atomic force microscopy. We show that acid treatment can cleave the backbone of the polyurea film at positions where the acid-labile groups are embedded. We further show that after soaking the polyurea film with photoacid generator (PAG), it acts...

Journal ArticleDOI
TL;DR: Polymer-bound PAG resists have shown that the principal demerit of acid diffusion can be overcome through attachment of the PAG anion to the lithographic polymer as mentioned in this paper, which has been enough progress in resolution and sensitivity to justify the use of these materials.
Abstract: We discuss the future of resist materials for sub-20-nm lithography and believe that polymer-bound PAG-based resists will be used to 16-nm node. There has been enough progress in resolution and sensitivity to justify the use of these materials. Polymer-bound PAG resists have shown that the principal demerit of acid diffusion can be overcome through attachment of the PAG anion to the lithographic polymer. Since the introduction of this chemically amplified resist approach, we have seen steady improvement in resolution, sensitivity, and LWR. We have also seen improvement in OOB response, outgassing, and pattern collapse. There is no doubt that continuous improvement is still required for these resist systems. We believe that increasing the overall resist quantum yield for acid generation substantially improves the shot-noise problem thereby leading to faster high-resolution resist materials. Using a 0.30-NA extreme ultraviolet tool with dipole, we can achieve 22-nm hp resolution, with a 12-mJ dose and a 4.2-nm LWR.

Book Chapter
01 Jan 2011
TL;DR: In this paper, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photo-sensitive layer, which can be reduced by the use of antireflection coatings, but the thickness of these coatings depends on the angle of exposure and the material property or combination of materials in thin films.
Abstract: In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the basic setup, with special attention for the optical aspects. The pros and cons of different types of resist as well as the limitations and errors of the setup are discussed. The bottleneck in Laser Interference Lithography is the presence of internal reflection in the photo-resist layer. These reflections can be reduced by the use of antireflection coatings. However the thicknesses of these coatings depends on the angle of exposure and the material property or combination of materials in thin films. We show with some examples how to deal with this issue. Finally we show examples of more complex patterns that can be realized by multiple exposures.

Journal ArticleDOI
TL;DR: In this paper, the changes in Raman spectra of graphene flakes after lithography processing are systematically investigated and it is found that substantial changes in the intensity of several Raman peaks are observed after the lithography processes involving electron-sensitive and photon-sensitive resists.

Patent
24 Nov 2011
TL;DR: In this paper, a thermal treatment is conducted to react resist pattern 13 and the polysilazane coating film 14 with each other to form an insolubilization layer 15 along the side surface and upper surface of the resist pattern.
Abstract: PROBLEM TO BE SOLVED: To provide a pattern forming method which can bring an insolubilization layer with higher etching resistance than before as a mask material when polysilazane is coated on a resist pattern to form the insolubilization layer as a pattern.SOLUTION: A resist pattern 13 is first formed on a processing target film 11, and a polysilazane coating film 14 is formed on the resist pattern 13. A thermal treatment is conducted to react the resist pattern 13 and the polysilazane coating film 14 with each other to form an insolubilization layer 15 along the side surface and upper surface of the resist pattern 13. Then, the polysilazane coating film 14 in an area where no insolubilization layer 15 is formed is removed, the insolubilization layer 15 is oxidized to form a polysilazane hardened film 16. The polysilazane hardened film 16 at the upper surface of the resist pattern 13 and the bottom portions in cavities of the resist pattern 13 is removed. Then, the resist pattern 13 is removed, and the processing target film 11 is processed by using the polysilazane hardened film 16.

Journal ArticleDOI
TL;DR: In this article, the authors evaluated defect levels of NIL and classified defectivity into three categories; nonfill defects, template defects, and plug defects and proposed new materials for both the template and resist processes.
Abstract: Nanoimprint lithography (NIL) has the potential capability of high resolution with critical dimension uniformity that is suited for patterning shrinkage, as well as providing a low cost advantage. However, the defectivity of NIL is an impediment to the practical use of the technology in semiconductor manufacturing. We have evaluated defect levels of NIL and have classified defectivity into three categories; nonfill defects, template defects, and plug defects. New materials for both the template and resist processes reduce these defects to practical levels. Electric yields of NIL are also discussed.