scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2016"


Patent
Lior Huli1, Nihar Mohanty1
12 May 2016
TL;DR: In this paper, a method for patterning a substrate, comprising of forming a layer of radiation-sensitive material on a substrate and preparing a pattern in the layer using a lithographic process, was presented.
Abstract: Provided is a method for patterning a substrate, comprising: forming a layer of radiation-sensitive material on a substrate; preparing a pattern in the layer of radiation-sensitive material using a lithographic process, the pattern being characterized by a critical dimension (CD) and a roughness; following the preparing the pattern, performing a CD shrink process to reduce the CD to a reduced CD; and performing a growth process to grow the reduced CD to a target CD. Roughness includes a line edge roughness (LER), a line width roughness (LWR), or both LER and LWR. Performing the CD shrink process comprises: coating the pattern with a hard mask, the coating generating a hard mask coated resist; baking the hard mask coated resist in a temperature range for a time period, the baking generating a baked coated resist; and developing the baked coated resist in deionized water.

96 citations


Journal ArticleDOI
05 Apr 2016-ACS Nano
TL;DR: The continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties are demonstrated and a water-soluble UV-imprint resist is introduced that enables residue-free nanoimprinting in roll-to-roll.
Abstract: Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabricati...

93 citations


Journal ArticleDOI
17 Feb 2016
TL;DR: In this article, three optical wafer metrology sensors are used in lithography for robustly measuring the shape and position of wafers and device patterns on these wafer.
Abstract: This paper presents three optical wafer metrology sensors that are used in lithography for robustly measuring the shape and position of wafers and device patterns on these wafers. The first two sensors are a level sensor and an alignment sensor that measure, respectively, a wafer height map and a wafer position before a new pattern is printed on the wafer. The third sensor is an optical scatterometer that measures critical dimension-variations and overlay after the resist has been exposed and developed. These sensors have different optical concepts but they share the same challenge that sub-nm precision is required at high throughput on a large variety of processed wafers and in the presence of unknown wafer processing variations. It is the purpose of this paper to explain these challenges in more detail and give an overview of the various solutions that have been introduced over the years to come to process-robust optical wafer metrology.

82 citations


Patent
10 Mar 2016
TL;DR: In this paper, a method of manufacturing a semiconductor device includes forming a resist pattern on a first film to be processed by using photolithography, forming a dummy pattern on the first film by using a 3D modeling machine, such as a three-dimensional printer.
Abstract: A method of manufacturing a semiconductor device includes forming a resist pattern on a first film to be processed by using photolithography, forming a dummy pattern on the first film by using a three-dimensional modeling machine, such as a three-dimensional printer. The dummy pattern is provided on a region of the first film that is not occupied by the resist pattern. The first film is then etched using the resist pattern and the dummy pattern as a mask. A second film is then formed on the etched first film and subsequently flattened/planarized using, for example, chemical mechanical polishing.

80 citations


Journal ArticleDOI
TL;DR: In this paper, the absorption coefficients of several chemically amplified resists and non-CAR extreme ultraviolet photoresists were measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source.
Abstract: The dynamic absorption coefficients of several chemically amplified resists (CAR) and non-CAR extreme ultraviolet (EUV) photoresists are measured experimentally using a specifically developed setup in transmission mode at the x-ray interference lithography beamline of the Swiss Light Source. The absorption coefficient α and the Dill parameters ABC were measured with unprecedented accuracy. In general, the α of resists match very closely with the theoretical value calculated from elemental densities and absorption coefficients, whereas exceptions are observed. In addition, through the direct measurements of the absorption coefficients and dose-to-clear values, we introduce a new figure of merit called chemical sensitivity to account for all the postabsorption chemical reaction ongoing in the resist, which also predicts a quantitative clearing volume and clearing radius, due to the photon absorption in the resist. These parameters may help provide deeper insight into the underlying mechanisms of the EUV concepts of clearing volume and clearing radius, which are then defined and quantitatively calculated.

74 citations


Patent
25 Jan 2016
TL;DR: In this paper, a multilayered resist is used to make an interconnect trench in an interlayer dielectric, a mixed gas including, as components thereof, at least CF 4 gas, C 3 H 2 F 4 gas and O 2 gas are used to perform dry etching.
Abstract: A semiconductor device is produced while keeping a short circuit margin between its interconnects. A method therefor includes a step in which when a multilayered resist is used to make an interconnect trench in an interlayer dielectric, a mixed gas including, as components thereof, at least CF 4 gas, C 3 H 2 F 4 gas and O 2 gas is used to perform dry etching in order to form the multilayered resist.

70 citations


Patent
11 Feb 2016
TL;DR: In this article, a layer of fill metal is used to protect the dielectric layer in the trenches, eliminating the need for some lithography steps, in turn reducing the overall cost and complexity of fabrication.
Abstract: Embodiments of the present invention provide a replacement metal gate and a fabrication process with reduced lithography steps. Using selective etching techniques, a layer of fill metal is used to protect the dielectric layer in the trenches, eliminating the need for some lithography steps. This, in turn, reduces the overall cost and complexity of fabrication. Furthermore, additional protection is provided during etching, which serves to improve product yield.

70 citations


Patent
04 Mar 2016
TL;DR: In this paper, a method for lithography patterning is described, which includes providing a substrate, forming a deposition enhancement layer (DEL) over the substrate, and flowing an organic gas near a surface of the DEL.
Abstract: Disclosed is a method for lithography patterning. The method includes providing a substrate, forming a deposition enhancement layer (DEL) over the substrate, and flowing an organic gas near a surface of the DEL. During the flowing of the organic gas, the method further includes irradiating the DEL and the organic gas with a patterned radiation. Elements of the organic gas polymerize upon the patterned radiation, thereby forming a resist pattern over the DEL. The method further includes etching the DEL with the resist pattern as an etch mask, thereby forming a patterned DEL.

64 citations


Journal ArticleDOI
TL;DR: Different DOE designs are presented for the sorting of optical vortices differing in either OAM content or beam size in the optical regime, with different steering geometries in far-field, appear promising for telecom applications both in free-space and in multi-core fibers propagation.
Abstract: During the last decade, the orbital angular momentum (OAM) of light has attracted growing interest as a new degree of freedom for signal channel multiplexing in order to increase the information transmission capacity in today’s optical networks. Here we present the design, fabrication and characterization of phase-only diffractive optical elements (DOE) performing mode-division (de)multiplexing (MDM) and spatial-division (de)multiplexing (SDM) at the same time. Samples have been fabricated with high-resolution electron-beam lithography patterning a polymethylmethacrylate (PMMA) resist layer spun over a glass substrate. Different DOE designs are presented for the sorting of optical vortices differing in either OAM content or beam size in the optical regime, with different steering geometries in far-field. These novel DOE designs appear promising for telecom applications both in free-space and in multi-core fibers propagation.

60 citations


Journal ArticleDOI
26 Jan 2016-ACS Nano
TL;DR: A multiplexed cytokine immunoassay utilizing electron beam lithography and a trehalose glycopolymer as a resist for the direct writing of antibodies on silicon substrates, allowing for micro- and nanoscale precision of protein immobilization is described.
Abstract: Simultaneous detection of multiple biomarkers, such as extracellular signaling molecules, is a critical aspect in disease profiling and diagnostics. Precise positioning of antibodies on surfaces, especially at the micro- and nanoscale, is important for the improvement of assays, biosensors, and diagnostics on the molecular level, and therefore, the pursuit of device miniaturization for parallel, fast, low-volume assays is a continuing challenge. Here, we describe a multiplexed cytokine immunoassay utilizing electron beam lithography and a trehalose glycopolymer as a resist for the direct writing of antibodies on silicon substrates, allowing for micro- and nanoscale precision of protein immobilization. Specifically, anti-interleukin 6 (IL-6) and antitumor necrosis factor alpha (TNFα) antibodies were directly patterned. Retention of the specific binding properties of the patterned antibodies was shown by the capture of secreted cytokines from stimulated RAW 264.7 macrophages. A sandwich immunoassay was empl...

57 citations


Journal ArticleDOI
TL;DR: The liftoff process limits are studied to achieve extreme dense nanowires while ensuring preservation of thin film quality and the resist thickness and its geometric profile after development were identified to be the major limiting factors.
Abstract: Emerging nano-scale technologies are pushing the fabrication boundaries at their limits, for leveraging an even higher density of nano-devices towards reaching 4F2/cell footprint in 3D arrays. Here, we study the liftoff process limits to achieve extreme dense nanowires while ensuring preservation of thin film quality. The proposed method is optimized for attaining a multiple layer fabrication to reliably achieve 3D nano-device stacks of 32 × 32 nanowire arrays across 6-inch wafer, using electron beam lithography at 100 kV and polymethyl methacrylate (PMMA) resist at different thicknesses. The resist thickness and its geometric profile after development were identified to be the major limiting factors, and suggestions for addressing these issues are provided. Multiple layers were successfully achieved to fabricate arrays of 1 Ki cells that have sub- 15 nm nanowires distant by 28 nm across 6-inch wafer.

Journal ArticleDOI
TL;DR: In this article, a negative tone fullerene-derivative molecular resist was fabricated using helium ion beam lithography (HIBL) and shown to have a sensitivity of 40µC/cm2 with a 30keV helium beam.

Journal ArticleDOI
TL;DR: A novel nanoimprint lithography technique based on the photofluidization effect of azobenzene materials allows for imprinting under ambient conditions without crosslinking reactions, so that shrinkage of the resist is avoided.
Abstract: A novel nanoimprint lithography technique based on the photofluidization effect of azobenzene materials is presented. The tunable process allows for imprinting under ambient conditions without crosslinking reactions, so that shrinkage of the resist is avoided. Patterning of surfaces in the regime from micrometers down to 100 nm is demonstrated.

Journal ArticleDOI
TL;DR: A method for submicron fabrication of flexible, thin-film structures fully encapsulated in biocompatible polymer poly(chloro-p-xylylene) (Parylene C) that improves feature size and resolution by an order of magnitude compared with prior work is presented.
Abstract: We present a method for submicron fabrication of flexible, thin-film structures fully encapsulated in biocompatible polymer poly(chloro-p-xylylene) (Parylene C) that improves feature size and resolution by an order of magnitude compared with prior work. We achieved critical dimensions as small as 250 nm by adapting electron beam lithography for use on vapor deposited Parylene-coated substrates and fabricated encapsulated metal structures, including conducting traces, serpentine resistors, and nano-patterned electrodes. Structures were probed electrically and mechanically demonstrating robust performance even under flexion or torsion. The developed fabrication process for electron beam lithography on Parylene-coated substrates and characterization of the resulting structures are presented in addition to a discussion of the challenges of applying electron beam lithography to polymers. As an application of the technique, a Parylene-based neural probe prototype was fabricated with 32 recording sites patterned along a 2 mm long shank, an electrode density surpassing any prior polymer probe. Flexible, polymer-coated electrodes with features as narrow as 250 nm have been produced using electron-beam lithography. The polymer Parylene C is widely used in implantable devices such as neural probes as a biocompatible and insulating coating for electrodes. However, it is challenging to pattern this polymer with electron beams because of its sensitivity to heat and charge. Ellis Meng and Kee Scholten from the University of Southern California, United States, overcame these limitation with a chromium-capped methacrylate resist mask. Depositing this mask onto a Parylene C-encapsulated titanium thin film helped to reduce thermal stress effects and electric charge build-up, which improved feature resolution by an order of magnitude beyond that of existing approaches. A prototype neural probe with 32 data recording sites along a 2-mm span—an electrode density that greatly exceeds previous polymer implants—demonstrated the potential of the technique.

Journal ArticleDOI
TL;DR: In this paper, pure silk fibroin can be used as a green and bio-functional photoresist for deep ultraviolet photolithography, and the process is entirely water-based, from resist solvent to resist removal.
Abstract: We report that pure silk fibroin can be a green and biofunctional photoresist for deep ultraviolet photolithography. All processes are entirely water-based, from resist solvent to resist removal, and rely on the phototendering effect that decreases the crystallinity of silk fibroin films by DUV exposure. Additionally, the potential decrease in activity of bio-dopants due to high-energy irradiation is irrelevant to our positive-tone lithographic method.

Journal ArticleDOI
TL;DR: In this article, a molecular Snoxide based negative tone resist featuring high absorbance at EUV wavelengths was investigated for the simple and direct fabrication of highly efficient diffraction gratings necessary for single-digit nm resolution patterning with EUV interference lithography (EUV-IL).

Proceedings ArticleDOI
Anna Lio1
TL;DR: In this article, the current status of EUV photoresists and their readiness for EUVL insertion into high volume manufacturing (HVM) are assessed. And the requirements that EUV will need to satisfy in the near and long term future are discussed.
Abstract: The need to print smaller features and tighter pitches drives the development of new photolithography technologies. Extreme Ultraviolet Lithography (EUVL) at 13.5 nm wavelength is expected to provide considerable resolution gain over the current technology based on 193 nm wavelength. In this paper we assess the current status of EUV photoresists and their readiness for EUVL insertion into High Volume Manufacturing (HVM). In addition, we discuss the requirements that EUV photoresists will need to satisfy in the near and long term future.

Journal ArticleDOI
Dung Hoang Tien1, Jun-Young Park1, Ki Buem Kim1, Naesung Lee1, Yongho Seo1 
TL;DR: The high gas sensitivity of the device fabricated by shadow mask is attributed to adsorption on the clean graphene surface, and it is found that the Dirac peaks of the graphene devices on SiO2 or on hexagonal boron nitride (hBN) shift from a positive gate voltage region to a negative region as air pressure decreases.
Abstract: To pattern electrical metal contacts, electron beam lithography or photolithography are commonly utilized, and these processes require polymer resists with solvents. During the patterning process the graphene surface is exposed to chemicals, and the residue on the graphene surface was unable to be completely removed by any method, causing the graphene layer to be contaminated. A lithography free method can overcome these residue problems. In this study, we use a micro-grid as a shadow mask to fabricate a graphene based field-effect-transistor (FET). Electrical measurements of the graphene based FET samples are carried out in air and vacuum. It is found that the Dirac peaks of the graphene devices on SiO2 or on hexagonal boron nitride (hBN) shift from a positive gate voltage region to a negative region as air pressure decreases. In particular, the Dirac peaks shift very rapidly when the pressure decreases from ~2 × 10(-3) Torr to ~5 × 10(-5) Torr within 5 minutes. These Dirac peak shifts are known as adsorption and desorption of environmental gases, but the shift amounts are considerably different depending on the fabrication process. The high gas sensitivity of the device fabricated by shadow mask is attributed to adsorption on the clean graphene surface.

Proceedings ArticleDOI
TL;DR: Inpria as mentioned in this paper leveraged novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance, which has been shown to improve photospeed and provide an update on imaging performance.
Abstract: Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.

Journal ArticleDOI
TL;DR: Rangelow et al. as discussed by the authors employed a combination of two novel methods of fabricating room temperature silicon single-electron transistors (SETs), Fowler-Nordheim scanning probe lithography (F-N SPL) with active cantilevers and cryogenic reactive ion etching followed by pattern-dependent oxidation.
Abstract: Single-electron devices operating at room temperature require sub-5 nm quantum dots having tunnel junctions of comparable dimensions. Further development in nanoelectronics depends on the capability to generate mesoscopic structures and interfacing these with complementary metal–oxide–semiconductor devices in a single system. The authors employ a combination of two novel methods of fabricating room temperature silicon single-electron transistors (SETs), Fowler–Nordheim scanning probe lithography (F-N SPL) with active cantilevers and cryogenic reactive ion etching followed by pattern-dependent oxidation. The F-N SPL employs a low energy electron exposure of 5–10 nm thick high-resolution molecular resist (Calixarene) resulting in single nanodigit lithographic performance [Rangelow et al., Proc. SPIE 7637, 76370V (2010)]. The followed step of pattern transfer into silicon becomes very challenging because of the extremely low resist thickness, which limits the etching depth. The authors developed a computer simulation code to simulate the reactive ion etching at cryogenic temperatures (−120 °C). In this article, the authors present the alliance of all these technologies used for the manufacturing of SETs capable to operate at room temperatures.

Journal ArticleDOI
TL;DR: In this paper, the usability of ZEP520A as resist for thermally activated selective topography equilibration (TASTE) was investigated, and it was found that a high contrast resist such as ZEP-520A is well suitable for grayscale electron-beam lithography, and a selective thermal reflow is possible with ZEP−520A and reflow was governed by the same energy minimization principle as known from poly (methyl methacrylate) (PMMA), another linear thermoplastic resist.

Journal ArticleDOI
TL;DR: In this article, a review of the recent developments in the area of n-CARs for sub-30 nm node technology using next generation lithography (NGL) techniques is presented.
Abstract: While chemically amplified resists (CARs) have been dominating the semiconductor industries over the past few decades, particularly in the area of computer chip fabrication, the replacement of such resists has been realized in recent times as the CARs are approaching their resolution limit, and thus may not be able to fulfil the market demand that the semiconductor industries are looking for, particularly for sub-20 nm node technology using next generation lithography techniques. In this context, non-chemically amplified resists (n-CARs) are being anticipated as potential replacements of CARs. In the case of n-CARs, the photosensitive functionality is integrated into the resist backbone. Therefore, upon exposure to photons of suitable energy, the photosensitive group undergoes photochemical changes resulting in polarity switching between the exposed and unexposed regions. This polarity change helps in developing patterns in the presence of a suitable developer. Therefore, external chemical amplification using photoacid generators (PAGs) is not needed to bring in required polarity changes in the case of n-CARs. As the n-CARs do not require any additional chemical amplification, they are devoid of the most serious problem that almost all CARs face i.e. acid diffusion in the solid state causing considerable line-edge roughness (LER) and line-width roughness (LWR). Recently, several research groups have designed and developed various n-CARs with ultra-low resolution and LER/LWR. Although many n-CARs, sensitive to photons of various energies, have been developed over the last few decades for larger nodes (>60 nm) the n-CARs development for patterning sub-30 nm features is at the plinth level. This review article will focus on the recent developments in the area of n-CARs for sub-30 nm node technology using next generation lithography (NGL) techniques.

Journal ArticleDOI
TL;DR: It is found that a combination of LOR and SU8 photoresists enables the patterning of thick PDMS layers by RIE without redeposition problems, and the ability to etch 1.5-μm pillars in PDMS with a selectivity of 3.4.
Abstract: Over the past few decades, polydimethylsiloxane (PDMS) has become the material of choice for a variety of microsystem applications, including microfluidics, imprint lithography, and soft microrobotics. For most of these applications, PDMS is processed by replication molding; however, new applications would greatly benefit from the ability to pattern PDMS films using lithography and etching. Metal hardmasks, in conjunction with reactive ion etching (RIE), have been reported as a method for patterning PDMS; however, this approach suffers from a high surface roughness because of metal redeposition and limited etch thickness due to poor etch selectivity. We found that a combination of LOR and SU8 photoresists enables the patterning of thick PDMS layers by RIE without redeposition problems. We demonstrate the ability to etch 1.5-μm pillars in PDMS with a selectivity of 3.4. Furthermore, we use this process to lithographically process flexible fluidic microactuators without any manual transfer or cutting step. The actuator achieves a bidirectional rotation of 50° at a pressure of 200 kPa. This process provides a unique opportunity to scale down these actuators as well as other PDMS-based devices. A method to etch structures in silicone rubber could prove useful for microfluidics, lithography and even soft robotics applications. Michael De Volder at the University of Cambridge, United Kingdom, and his colleagues at the KU Leuven, Belgium used a dual-layer mask consisting of a lift-off resist underneath an SU-8 photoresist layer to protect selected areas of the silicone polydimethylsiloxane (PDMS). Next, the team used reactive-ion etching with a specific gas composition to remove the exposed PDMS. The entire protective mask was then easily removed by etching the lift-off layer through exposure to a developer fluid. The researchers used their method to make simple, flexible fluidic actuators. The fabrication process removes the need for imprecise manual cutting or metal masks that introduce defects into PDMS structures, which should enable even greater scaling down of PDMS devices.

Journal ArticleDOI
TL;DR: In this article, a new HfO2-methacrylate-MAPDST (HMM, Mw ∼27885 g mol−1) was synthesized by reacting the hybrid monomer Hf O 2 −metha-rylate (HM) with MAPDST in the presence of azobisisobutyronitrile (AIBN) as a radical initiator in tetrahydrofuran and acetonitrile at 60 °C for Extreme Ultraviolet Lithography (EUVL) applications as a non-chemically
Abstract: A new HfO2 based hybrid polymer HfO2–methacrylate–MAPDST (HMM, Mw ∼27885 g mol−1) was synthesized by reacting the hybrid monomer HfO2–methacrylate (HM) with (methacryloyloxy)phenyldimethylsulfoniumtriflate (MAPDST) in the presence of azobisisobutyronitrile (AIBN) as a radical initiator in tetrahydrofuran and acetonitrile (2:1; v/v) at 60 °C for Extreme Ultraviolet Lithography (EUVL) applications as a non-chemically amplified resist (n-CAR) material. Transmission Electron Microscope (TEM) and Dynamic Light Scattering (DLS) analyses revealed the particle sizes of HM and HMM hybrids as ∼3–5 nm and ∼12 nm respectively. Thin films of thickness ∼53 nm were prepared by spin coating 3% (w/v) solutions of HMM in methanol onto 4′′ p-type Si wafers consisting of a 40 nm hexamethyldisilazane (HMDS) under layer. The films were then subjected to EUV exposure at a dose of 51.7127 mJ cm−2 on a micro exposure tool (MET) with an Advanced Light Source (ALS) at SEMATECH Berkeley using standard mask IMO228775 with field R4C3 (LBNL low flare bright-field). The EUV E0 dose used for the exposure was 22 mJ cm−2. Field Emission Scanning Electron Microscope (FE-SEM) micrographs of the EUV exposed hybrid resist films showed isolated line patterns of 80, 70, 60, 50, 45, 40, 35 and 30 nm for 5:1 duty cycle, of which 80 to 50 nm line patterns were well resolved, whereas patterns of 45 to 30 nm or higher resolutions exhibited undesirable traits like bridging, fracturing, de-adhesion, peel-off and pattern collapse. To comprehend the reasons behind these undesirable traits, nano-mechanical properties (modulus and adhesion) of the EUV exposed and developed resist patterns were investigated by using Peak Force-Quantitative Nano-Mechanical (PF-QNM) tapping mode Atomic Force Microscope (AFM). These studies revealed ∼18% and ∼19% reductions in Derjaguin–Muller–Toporov (DMT) modulus and adhesion magnitudes respectively with an increase in isolated line pattern resolution from 80 to 30 nm for 5:1 duty cycles. The reduction in modulus and adhesion magnitudes might have caused the observed fracturing, peel-off and collapse of the high resolution line patterns during the pattern development processes.

Book ChapterDOI
01 Jan 2016
TL;DR: In this article, the details of molecular excitation and relaxation are critically important for understanding and optimizing extreme ultraviolet (EUV) lithography resist systems, and they are especially important when resist sensitivity is increased by incorporating high EUV cross-section atoms, which promote the formation of more strongly bound orbitals.
Abstract: The details of molecular excitation and relaxation are critically important for understanding and optimizing extreme ultraviolet (EUV) lithography resist systems. The most strongly bound molecular or atomic orbitals that can be excited by X-rays have the highest photoionization probability. This means that the initial photoelectrons will have relatively low energy, and molecules will be left with a significant amount of residual internal energy. Relaxation generates additional secondary electrons, followed by molecular fragmentation into radicals and radical ions. Relaxation processes are especially important when resist sensitivity is increased by incorporating high EUV cross-section atoms, which promote the formation of more strongly bound orbitals. The fundamentals of EUV radiation chemistry and the implications for lithography are discussed, as well as experimental and theoretical research needs.

Journal ArticleDOI
TL;DR: In this article, a positive electron beam lithography (EBL) resist for polysilicon with β-sheets was proposed. But the resist was not shown to be water-solvable.
Abstract: Thermal diffusivity of silk fibroin films, α = (1.6 ± 0.24) × 10−7 m2 s−1, was measured by a direct contact method. It was shown to be reduced down to ∼1 × 10−7 m2 s−1 in the crystallized phase, consistent with the multi-domain composition of β-sheet assemblies. Crystalline silk with β-sheets was made by dipping into alcohol and was used as a positive electron beam lithography (EBL) resist. It is shown by direct IR imaging of the 1619 cm−1 amide-I CO spectral signature and 3290 cm−1 amide-A N–H stretching band that an e-beam is responsible for unzipping β-sheets, which subsequently results in exposed areas returning to a water soluble state. This makes it possible to develop a water-based biocompatible silk resist and use it in lithography applications. The general principles of protein crystallization, traceable to spectral changes in IR amide bands of silk, can be used as a guide for the creation of new protein EBL resists and to quantify the electron dose required for solubility. Foam formation and laser treatments of silk can provide new approaches in surface functionalization and fabrication of 3D bio-scaffolds.

Book
01 Jan 2016
TL;DR: In this paper, the world's leading resist chemists and technique development lithographers present a comprehensive overview of the state-of-the-art techniques for next generation lithography, including EUV and multibeam electron beam lithography.
Abstract: As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography. These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches. This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication.Assembles up-to-date information from the world's premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigationIncludes information on processing and metrology techniquesBrings together multiple approaches to litho pattern recording from academia and industry in one place

Journal ArticleDOI
TL;DR: In this article, a plasmonic reflector was employed to project mask patterns to subwavelength images for nanolithography, and it was found that the reflector contributes remarkably to improving imaging contrast, fidelity and efficiency by inhibiting the negative influences from the radial electric field components in the resist region.
Abstract: In recent years, hyperlens technology has attracted more attention because of its function of magnification and demagnification. In this study, hyperlens demagnification imaging lithography was experimentally demonstrated with sub-diffraction resolution of about 55 nm line width and about 1.8 demagnification factor at 365 nm. The hyperlens was composed of multiple Ag/SiO2 films and combined with a resist layer and a plasmonic Ag reflector. It was employed to project mask patterns to subwavelength images for nanolithography. It was found that the plasmonic reflector contributes remarkably to improving imaging contrast, fidelity and efficiency by inhibiting the negative influences from the radial electric field components in the resist region. Furthermore, discussions about imaging influences with geometrical parameters are also presented.

Journal ArticleDOI
TL;DR: In this paper, the authors used LOR and SU-8 resists in combination within an innovative lithographic approach to obtain multilevel microchannels in a monolithic SU8 structure.

Journal ArticleDOI
TL;DR: F fluorinated ethylene-propylene (FEP) is considered as a viable and versatile alternative material for nanoimprint stamps as it can handle higher loads than PDMS, an intrinsically non-stick surface and is compatible with oxygen sensitive resists.
Abstract: Polydimethylsiloxane (PDMS) is used by many for nanoimprint applications due to its affordability, ease of preparation, mechanical flexibility, compatibility with imprint resists and transparency to UV light. However PDMS is notoriously flexible, tacky and permeable to air. Here fluorinated ethylene-propylene (FEP) is considered as a viable and versatile alternative material for nanoimprint stamps. FEP possesses many of the desirable nanoimprint attributes associated with PDMS but crucially also features a range of complementary characteristics, including an order of magnitude more mechanical strength allowing it to handle higher loads than PDMS, an intrinsically non-stick surface and is compatible with oxygen sensitive resists. Unlike elastomeric polymers, FEP is glassy so patterning may be realised via hot embossing. Not only is this a facile and rapid means of physical structuring but it also facilitates combinatorial patterning, providing a versatility beyond that of traditional casting materials. Due to the intrinsically slow creep of FEP both micro- and nanopatterning are successfully performed sequentially. Feature sizes from 45 nm were successfully realised via the hot-embossing method. To further demonstrate the potential of the material, a modified computer numerical control machine is used. It is capable of photo-, nanoimprint- and laser lithography in conjunction with patterned FEP foils. The tool is used to perform pattern transfer into a developmental nanoimprint resist from Micro Resist Technology, mr-NIL210 XP, and Nano SU-8 3005 negative tone photo resist from MicroChem. Ultimately three-tier lithography is performed in unison and advantageous step-and-repeat performance is achieved with fabricated FEP imprint stamps as they demould more compliantly and resist pressure and contamination better than PDMS.