scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2019"


Journal ArticleDOI
TL;DR: In this paper, the authors introduce functional three-dimensional hetero-microstructures based on poly(N-isopropylacrylamide) (pNIPAM) and demonstrate that the material parameters can be altered on demand in a single resist formulation.
Abstract: Stimuli-responsive microstructures are critical to create adaptable systems in soft robotics and biosciences. For such applications, the materials must be compatible with aqueous environments and enable the manufacturing of three-dimensional structures. Poly(N-isopropylacrylamide) (pNIPAM) is a well-established polymer, exhibiting a substantial response to changes in temperature close to its lower critical solution temperature. To create complex actuation patterns, materials that react differently with respect to a stimulus are required. Here, we introduce functional three-dimensional hetero-microstructures based on pNIPAM. By variation of the local exposure dose in three-dimensional laser lithography, we demonstrate that the material parameters can be altered on demand in a single resist formulation. We explore this concept for sophisticated three-dimensional architectures with large-amplitude and complex responses. The experimental results are consistent with numerical calculations, able to predict the actuation response. Furthermore, a spatially controlled response is achieved by inducing a local temperature increase by two-photon absorption of focused light.

166 citations


Journal ArticleDOI
23 Apr 2019
TL;DR: In this article, a method for 3D nano-sintering of inorganic amorphous and crystalline composites guided by the composition of the initial resins was proposed.
Abstract: Fabrication of a true-3D inorganic ceramic with resolution down to the nanoscale (∼100 nm) using a sol–gel resist precursor is demonstrated. This method has an unrestricted free-form capability, control of the fill-factor, and high fabrication throughput. A systematic study of the proposed approach based on ultrafast laser 3D lithography of organic–inorganic hybrid sol–gel resin followed by a heat treatment enabled the formation of inorganic amorphous and crystalline composites guided by the composition of the initial resin. The achieved resolution of 100 nm was obtained for 3D patterns of complex free-form architectures. Fabrication throughput of 50 × 103 voxels per second is achieved; voxel – a single volume element recorded by a single pulse exposure. A post-exposure thermal treatment was used to form a ceramic phase, the composition and structure of which were dependent on the temperature and duration of the heat treatment as revealed by Raman micro-spectroscopy. The X-ray diffraction (XRD) showed a gradual emergence of the crystalline phases at higher temperatures with a signature of cristobalite SiO2, a high-temperature polymorph. Also, a tetragonal ZrO2 phase known for its high fracture strength was observed. This 3D nano-sintering technique is scalable from nanoscale to millimeter dimensions and opens a conceptually novel route for optical 3D nano-printing of various crystalline inorganic materials defined by an initial composition for diverse applications for microdevices designed to function in harsh physical and chemical environments and at high temperatures.

86 citations


Journal ArticleDOI
22 Feb 2019-ACS Nano
TL;DR: A study on the effect of different lithographic solvents on perovskite films is performed and this insight is used to develop photolithography and electron-beam lithography procedures for patterning perovkite films, which achieves micron-scale features with flat tops.
Abstract: Lead-halide perovskites have attracted great attention due to their excellent optoelectronic properties, with rapid progress being made in their performance as light-emitting diodes (LEDs), photodiodes, and solar cells. Demonstrating large scale, high-resolution patterning of perovskites is a key enabling step to unlock their full potential for a range of optoelectronic applications. However, the development of a successful top-down lithography fabrication procedure has so far been hampered by the incompatibility of perovskite films with the solvents used during lithographic processes. Here, we perform a study on the effect of different lithographic solvents on perovskite films and use this insight to develop photolithography and electron-beam lithography procedures for patterning perovskite films. This procedure uses standard resists at low temperatures and achieves micron-scale features with flat tops. Furthermore, we expand this platform to produce arrays of multicolor pixels for potential commercial perovskite LED display applications.

74 citations


Journal ArticleDOI
14 Oct 2019-ACS Nano
TL;DR: A general approach for resist-free direct electron-beam lithography of functional inorganic nanomaterials (DELFIN) which enables all-inorganic NC patterns with feature size down to 30 nm, while preserving the optical and electronic properties of patterned NCs.
Abstract: Direct optical lithography of functional inorganic nanomaterials (DOLFIN) is a photoresist-free method for high-resolution patterning of inorganic nanocrystals (NCs) that has been demonstrated using deep UV (DUV, 254 nm) photons. Here, we expand the versatility of DOLFIN by designing a series of photochemically active NC surface ligands for direct patterning using various photon energies including DUV, near-UV (i-line, 365 nm), blue (h-line, 405 nm), and visible (450 nm) light. We show that the exposure dose for DOLFIN can be ∼30 mJ/cm2, which is small compared to most commercial photopolymer resists. Patterned nanomaterials can serve as highly robust optical diffraction gratings. We also introduce a general approach for resist-free direct electron-beam lithography of functional inorganic nanomaterials (DELFIN) which enables all-inorganic NC patterns with feature size down to 30 nm, while preserving the optical and electronic properties of patterned NCs. The designed ligand chemistries and patterning techniques offer a versatile platform for nano- and micron-scale additive manufacturing, complementing the existing toolbox for device fabrication.

53 citations


Journal ArticleDOI
TL;DR: A novel photoresist is introduced to enable the additive fabrication of 3D microstructures at one wavelength and subsequent spatially controlled cleavage of the printed resist at another wavelength, proving the possibility of complete or partial removal of structures on demand.
Abstract: The ability to selectively remove sections from 3D-printed structures with high resolution remains a current challenge in 3D laser lithography. A novel photoresist is introduced to enable the additive fabrication of 3D microstructures at one wavelength and subsequent spatially controlled cleavage of the printed resist at another wavelength. The photoresist is composed of a difunctional acrylate cross-linker containing a photolabile o-nitrobenzyl ether moiety. 3D microstructures are written by photoinduced radical polymerization of acrylates using Ivocerin as photoinitiator upon exposure to 900 nm laser light. Subsequent scanning using a laser at 700 nm wavelength allows for the selective removal of the resist by photocleaving the o-nitrobenzyl group. Both steps rely on two-photon absorption. The fabricated and erased features are imaged using scanning electron microscopy (SEM) and laser scanning microscopy (LSM). In addition, a single wire bond is successfully eliminated from an array, proving the possibility of complete or partial removal of structures on demand.

52 citations


Journal ArticleDOI
TL;DR: In this paper, a platform technology for multimaterial photoresists that can be orthogonally cured by disparate colors of light is introduced, where the resist's photochemistry is designed such that one wavelength selectively activates the crosslinking of one set of macromolecules, while a different wavelength initiates network formation of a different set of chains.
Abstract: A platform technology for multimaterial photoresists that can be orthogonally cured by disparate colors of light is introduced. The resist's photochemistry is designed such that one wavelength selectively activates the crosslinking of one set of macromolecules, while a different wavelength initiates network formation of a different set of chains. Each wavelength is thus highly selective towards a specific photoligation reaction within the resist. Critically, the shorter wavelength does not induce ligation of the longer wavelength selective species within the same resist mixture, defined as "wavelength orthogonality." Uniquely, this dual-color addressable resist system allows generating spatially resolved soft matter materials by simply selecting the curing wavelength, thus constituting a wavelength-orthogonal multimaterial resist with applications ranging from coatings to 3D additive manufacturing of multimaterial architectures.

52 citations


Journal ArticleDOI
TL;DR: In this paper, a photoresist based on methacrylate copolymers bearing photochromic spirothiopyran moieties as side groups can crosslink via supramolecular interaction between the chromophores.
Abstract: We introduce a photoresist based on methacrylate copolymers bearing photochromic spirothiopyran moieties as side groups that can crosslink via supramolecular interaction between the chromophores. Upon two-photon excitation, the resist is capable of generating freestanding three-dimensional structures and offers an inhibition channel, which allows for stimulated-emission depletion-inspired laser lithography. Reversible inhibition, linewidth narrowing, and resolution enhancement are demonstrated.

50 citations


Journal ArticleDOI
TL;DR: A negative tone, metal-organic resist is presented here, which can be patterned to produce sub-10 nm features when exposed with helium ion beam lithography at line doses on the order of 10s of pC/cm and demonstrates high resistance to silicon and tungsten dry etch conditions.
Abstract: Field-emission devices are promising candidates to replace silicon fin field-effect transistors as next-generation nanoelectronic components. For these devices to be adopted, nanoscale field emitte...

44 citations


Proceedings ArticleDOI
02 Jun 2019
TL;DR: LithoGAN, an end-to-end lithography modeling framework based on a generative adversarial network (GAN), to map the input mask patterns directly to the output resist patterns to achieve orders of magnitude speedup compared to conventional lithography simulation and previous machine learning based approach.
Abstract: Lithography simulation is one of the most fundamental steps in process modeling and physical verification. Conventional simulation methods suffer from a tremendous computational cost for achieving high accuracy. Recently, machine learning was introduced to trade off between accuracy and runtime through speeding up the resist modeling stage of the simulation flow. In this work, we propose LithoGAN, an end-to-end lithography modeling framework based on a generative adversarial network (GAN), to map the input mask patterns directly to the output resist patterns. Our experimental results show that LithoGAN can predict resist patterns with high accuracy while achieving orders of magnitude speedup compared to conventional lithography simulation and previous machine learning based approach.

42 citations


Journal ArticleDOI
TL;DR: In this paper, the authors proposed a new resist modeling framework for contact layers, utilizing existing data from old technology nodes and active selection of data in a target technology node, to reduce the amount of data required from the target lithography configuration.
Abstract: Lithography simulation is one of the key steps in physical verification, enabled by the substantial optical and resist models. A resist model bridges the aerial image simulation to printed patterns. While the effectiveness of learning-based solutions for resist modeling has been demonstrated, they are considerably data-demanding. Meanwhile, a set of manufactured data for a specific lithography configuration is only valid for the training of one single model, indicating low data efficiency. Due to the complexity of the manufacturing process, obtaining enough data for acceptable accuracy becomes very expensive in terms of both time and cost, especially during the evolution of technology generations when the design space is intensively explored. In this paper, we propose a new resist modeling framework for contact layers, utilizing existing data from old technology nodes and active selection of data in a target technology node, to reduce the amount of data required from the target lithography configuration. Our framework based on transfer learning and active learning techniques is effective within a competitive range of accuracy, i.e., $3 \times -10 \times $ reduction on the amount of training data with comparable accuracy to the state-of-the-art learning approach.

40 citations


Journal ArticleDOI
TL;DR: This work demonstrated fabrication of soft photolithography masters using lamination of ADEX dry film as an alternative to the now classic SU-8 resist masters formed by spin coating, holding the promise of delivering state-of-the-art microfluidic techniques to the broad field of biomedical and pharmaceutical research.
Abstract: Fabrication of microfluidic devices by soft lithography is by far the most popular approach due to simplicity and low cost. In this approach PDMS (polydimethylsiloxane) is cast on a photoresist master to generate replicas that are then sealed against glass slides using oxygen plasma. In this work, we demonstrated fabrication of soft photolithography masters using lamination of ADEX dry film as an alternative to the now classic SU-8 resist masters formed by spin coating. Advantages of using ADEX dry film include the easily-achievable uniform thickness without edge bead; simplicity of the process with significant time savings due to non-sticky nature of the film; and fewer health concerns due to less toxic developing solution and antimony-free composition. As we demonstrate, the process can be performed in a low-cost improvised fabrication room in ambient light, in place of a conventional yellow-light cleanroom environment. We believe this approach holds the promise of delivering state-of-the-art microfluidic techniques to the broad field of biomedical and pharmaceutical research.

Journal ArticleDOI
TL;DR: Mechanistic information is provided that can be applied to organotin EUV photoresists, where a significant increase in photoresist sensitivity may be obtained by varying the ambient conditions during EUV exposures.
Abstract: Organotin photoresists have shown promise for next-generation lithography because of their high extreme ultraviolet (EUV) absorption cross sections, their radiation sensitive chemistries, and their ability to enable high-resolution patterning. To better understand both temperature- and radiation-induced reaction mechanisms, we have studied a model EUV photoresist, which consists of a charge-neutral butyl-tin cluster. Temperature-programmed desorption (TPD) showed very little outgassing of the butyl-tin resist in ultrahigh vacuum and excellent thermal stability of the butyl groups. TPD results indicated that decomposition of the butyl-tin resist was first order with a fairly constant decomposition energy between 2.4 and 3.0 eV, which was determined by butyl group desorption. Electron-stimulated desorption (ESD) showed that butyl groups were the primary decomposition product for electron kinetic energies expected during EUV exposures. X-ray photoelectron spectroscopy was performed before and after low-energy electron exposure to evaluate the compositional and chemical changes in the butyl-tin resists after interaction with radiation. The effect of molecular oxygen during ESD experiments was evaluated, and it was found to enhance butyl group desorption during exposure and resulted in a significant increase in the ESD cross section by over 20%. These results provide mechanistic information that can be applied to organotin EUV photoresists, where a significant increase in photoresist sensitivity may be obtained by varying the ambient conditions during EUV exposures.

Journal ArticleDOI
TL;DR: A soft-imprint nanofabrication technique offering nanometer resolution over an area as large as a 150 mm diameter wafer, using a composite imprint stamp composed of a quaternary siloxane-modified poly-di-methyl-siloxane patterned rubber layer with a relatively high Young's modulus.
Abstract: We demonstrate a soft-imprint nanofabrication technique offering nanometer resolution over an area as large as a 150 mm diameter wafer. It makes use of a composite imprint stamp composed of a quaternary siloxane-modified poly-di-methyl-siloxane patterned rubber layer with a relatively high Young's modulus that is laminated on a thin glass support. The in-plane stiffness of the stamp avoids pattern deformation over large areas, while out-of-plane flexibility allows conformal contact to be made over the entire substrate area. The stamp is used in conjunction with a novel tetra-methyl-ortho-siloxane/methyl-tri-methoxy-siloxane sol-gel imprint resist material developed to replicate nanoscale features in rigid silica at room temperature. We demonstrate better than 10 nm resolution in imprinted line gratings and individual pillars with aspect ratio as high as 5:1. Gaps as small as 6 nm can be reproduced. The patterns can be used as an etch mask to pattern 150 mm diameter silicon and quartz substrates while maintaining sub-10 nm resolution.

Journal ArticleDOI
TL;DR: In this paper, a method of dose-modulated maskless lithography (DMML) with high efficiency for the fabrication of a special compound-eyes array with enlarged field-of-view is proposed.
Abstract: In this paper, a method of dose-modulated maskless lithography (DMML) with high efficiency for the fabrication of a special compound-eyes array with enlarged field-of-view is proposed. Before the fabrication process, practical measurements were primarily conducted to quantify the dose-modulated effect. Next, a digital gray pattern, generated according to the required exposure dose distribution of the design structure, was adopted as a virtual mask to modulate the exposure depth point-by-point. In this way, the whole exposure process requires only one-step. Besides, because the DMML uses sequential gray-levels to modulate the exposure depth, the fabricated structure has a more continuous profile surface. Combined with the thermal reflow process, and the pattern reversion process, the compound eyes array was transferred to the planar polydimethylsiloxane films with high quality. Subsequent tests reveal that the physical performance of fabricated compound eyes array, including the surface profile and the rotated orientation, has a good agreement with our design.

Journal ArticleDOI
TL;DR: In this article, a hybrid positive-tone resist platform utilizing simple ex situ vapor-phase inorganic infiltration into standard resist materials was demonstrated for electron-beam lithography patterning, featuring a fully controllable critical exposure dose, contrast, and etch resistance.
Abstract: Organic–inorganic hybrid resists are emerging as an effective way of addressing stringent process requirements for aggressive down-scaling of semiconducting devices. However, hybrid resists generally require complex chemical synthesis while being predominantly negative-tone with high dose requirements. For positive-tone processes and high-aspect-ratio pattern transfers, resist choices are limited to costly, non-hybrid alternatives, whose etch resistance is still inferior compared with hybrid resists. Here, we demonstrate a novel hybrid positive-tone resist platform utilizing simple ex situ vapor-phase inorganic infiltration into standard resist materials. A model system based on poly(methyl methacrylate) (PMMA) thin film hybridized with aluminum oxide has been demonstrated for electron-beam lithography patterning, featuring a fully controllable critical exposure dose, contrast, and etch resistance. The hybrid resist not only achieves contrast as high as ∼30, six-fold enhancement over standard PMMA, but also enables Si nanostructures with resolution down to ∼30 nm and an aspect ratio as high as ∼17, owing to enhancement of the Si etch selectivity to ∼70, with an estimated achievable maximum of ∼300, far exceeding known commercial positive-tone resist systems. The easy implementabilility, combined with versatile ex situ control of resist characteristics, makes this hybrid resist synthesis approach uniquely suited for addressing the resist performance and high throughput required for advanced nanolithography techniques, such as extreme ultraviolet lithography, potentially.

Journal ArticleDOI
TL;DR: This work produced a pattern with 10 nm critical dimensions, using electron beam lithography, and used it to replicate nanoimprint molds by direct casting of an elastomer onto the patterned resist, and showed that the produced pattern can be faithfully transferred from the mold by thermal nanoim printing.
Abstract: Nanoimprinting with rigid molds offers almost unlimited pattern resolution, but it suffers from high sensitivity to defects, and is limited to pattering flat surfaces. These limitations can be addressed by nanoimprinting with soft molds. However, soft molds have been used so far with UV resists, and could not achieve a resolution and minimal feature size comparable to those of rigid molds. Here, we explore the miniaturization edge of soft nanoimprint molds, and demonstrate their compatibility with thermal imprint resists. To that end, we produced a pattern with 10 nm critical dimensions, using electron beam lithography, and used it to replicate nanoimprint molds by direct casting of an elastomer onto the patterned resist. We showed that the produced pattern can be faithfully transferred from the mold by thermal nanoimprinting. In addition, we showed that similar nanoimprint molds can also be produced by double replication, which includes nanoimprinting of a thermal resist with an ultrahigh resolution rigid mold, and replication of a soft mold from the imprint pattern. We also demonstrated our novel nanoimprinting approach in two unconventional applications: nanopatterning of a thermal resist on a lens surface, and direct nanoimprinting of chalcogenide glass. Our novel nanoimprint approach pushes the envelope of standard nanofabrication, and demonstrates its potential for numerous applications impossible up to now.

Journal ArticleDOI
TL;DR: In this paper, different carbon micro-patterns were created using photolithography of the epoxy-based negative photoresist SU-8 Photoresist patterns were optimized in terms of resolution and aspect ratio and subsequently subjected to pyrolysis to obtain carbonized and conductive 3D structures.
Abstract: The preparation of carbon micro-patterns is reported in this paper Different carbon micro-patterns were created using photolithography of the epoxy-based negative photoresist SU-8 Photoresist patterns were optimized in terms of resolution and aspect ratio and subsequently subjected to pyrolysis to obtain carbonized and conductive 3D structures The latter step requires the optimization of the resist cross-linking time as well as the temperature and time of the resist post-bake This step is crucial in order to avoid any severe modification of the geometry of the patterns produced during the actual pyrolysis By observing optical and scanning electron microscope images, the morphology of the structures before and after pyrolysis was studied and the same patterns were also characterized by a laser probe profilometer Finally, the thus obtained carbon patterns on Si wafers were used to carry out cell culture tests with Neural Stem Cells (NSC) The adhesion and the arrangement of the stem cells were analyzed to verify the ability of the patterned substrates to guide the orientation and, therefore, the differentiation of the cells

Journal ArticleDOI
TL;DR: In this paper, two types of composite lattice materials with enhanced resistances were proposed, which can be used to design lattice structures through their microstructure while concurrently considering fabrication feasibility.
Abstract: Lattice materials can be designed through their microstructure while concurrently considering fabrication feasibility. Here, we propose two types of composite lattice materials with enhanced resist...

Journal ArticleDOI
TL;DR: The utility of scanning probe lithography towards understanding material-dependent edge recombination losses without significantly normalizing edge behaviors due to heavy defect generation, while allowing for eventual exploration of edge passivation schemes is highlighted, which is of profound interest for nanoscale electronics and optoelectronics.
Abstract: Scanning probe lithography is used to directly pattern monolayer transition metal dichalcogenides (TMDs) without the use of a sacrificial resist. Using an atomic-force microscope, a negatively biased tip is brought close to the TMD surface. By inducing a water bridge between the tip and the TMD surface, controllable oxidation is achieved at the sub-100 nm resolution. The oxidized flake is then submerged into water for selective oxide removal which leads to controllable patterning. In addition, by changing the oxidation time, thickness tunable patterning of multilayer TMDs is demonstrated. This resist-less process results in exposed edges, overcoming a barrier in traditional resist-based lithography and dry etch where polymeric byproduct layers are often formed at the edges. By patterning monolayers into geometric patterns of different dimensions and measuring the effective carrier lifetime, the non-radiative recombination velocity due to edge defects is extracted. Using this patterning technique, it is shown that selenide TMDs exhibit lower edge recombination velocity as compared to sulfide TMDs. The utility of scanning probe lithography towards understanding material-dependent edge recombination losses without significantly normalizing edge behaviors due to heavy defect generation, while allowing for eventual exploration of edge passivation schemes is highlighted, which is of profound interest for nanoscale electronics and optoelectronics.

Journal ArticleDOI
TL;DR: This work evaluates an alternative approach to directly fabricate a plasmonic gold nanoparticle array without involving the vacuum evaporation process by using a chloroauric acid/poly(vinyl pyrrolidone) hybrid as a functional electron-beam resist and shows that the HAuCl4/PVP composite resist has a high patterning resolution.
Abstract: Reliable fabrication of gold nanoparticles with desirable size, geometry and spatial arrangement is essential for plasmonic applications. A common fabrication flow usually involves electron-beam lithography and a vacuum-evaporation-based lift-off process or etching. In this work, we evaluate an alternative approach to directly fabricate a plasmonic gold nanoparticle array without involving the vacuum evaporation process by using a chloroauric acid/poly(vinyl pyrrolidone) (HAuCl4/PVP) hybrid as a functional electron-beam resist. Systematic experiments were conducted to investigate the patterning behaviors in the fabrication process. With the optimized fabrication parameters, we show that the HAuCl4/PVP composite resist has a high patterning resolution and pure gold nanoparticles with tens of nanometers can be obtained after an annealing-based pyrolysis process. More particularly, compared to the patterned plasmonic gold nanoparticles obtained by conventional methods, the gold nanoparticles fabricated by our method can be transferred to soft substrates due to the absence of an adhesion layer, enabling various potential applications in flexible and stretchable optics. As an example, we demonstrated that the transferred gold nanoparticle array can be conformably assembled onto a flat gold surface to form a particle-on-film structure for surface-enhanced Raman scattering (SERS) applications.

Journal ArticleDOI
01 Mar 2019
TL;DR: In this article, a new and unconventional sacrificial stencil mask (SSM) technology was developed by exploiting Two-Photon Polymerization (2PP) in an IP-L/SU-8 double layer resist system.
Abstract: This work aims at developing a new and unconventional Sacrificial Stencil Mask (SSM) technology by exploiting Two-Photon Polymerization (2PP) in an IP-L/SU-8 double layer resist system. The process consists of the sequential deposition of two different resists, such as SU-8 and IPL, onto the same glass substrate, followed by 2PP lithography and distinct development processes. The 2PP writing process was used to polymerize structures inside the top and bottom resist layers to form, in one single exposure process, both SSM and a permanent polymeric structure, in our case a plain pedestal. The top IPL resist was developed using Isopropyl Alcohol (IPA), which does not affect either exposed or un-exposed SU-8 regions. In this way, structures written into the bottom layer remained latent, while exposed areas of the top IPL resist, including the stencil mask, were developed. The realization of 3D stencil masks, designed to be anchored inside the un-exposed bottom layer, was combined with metal evaporation to demonstrate the deposition of a plain metal line through the stencil mask. The final development of the bottom layer led to the lift off of the sacrificial stencil mask, uncovering the underlying, permanent polymer-metal structure. The combination of sacrificial polymer structures with permanent ones opens new possibilities in 3D MEMS design, enabling the integration of distributed electronic transducers in flexible polymeric structures.

Proceedings ArticleDOI
26 Mar 2019
TL;DR: In this paper, the authors performed a systematic evaluation of resist component variants to determine the relative effects of photon shot noise induced stochastics vs material stochastic, and the defectivity at post-exposure and post-etch were correlated to electrical yield to validate the evaluation.
Abstract: As the industry looks to extend single-expose extreme ultraviolet (EUV) lithography, stochastic effects become a significant concern to enable yield, for both trench and via levels. Multiple previously-published reports have shown a strong tradeoff between resist sensitivity and observed stochastic defectivity. However, the limits of this trade-off between improving stochastics-related defects with a higher dose resist remains to be understood. How strongly does the resist formulation itself contribute to stochastics, or is it a purely dosedriven effect? In this paper, we perform a systematic evaluations of resist component variants to determine the relative effects of photon shot noise induced stochastics vs material stochastics. Material contributions are probed through formulation component and reaction kinetics changes. The defectivity at post-exposure and post-etch are correlated to electrical yield to validate the evaluation. The effect of material and dose contribution to defectivity process window enables to highlight the significant and challenging task of addressing material stochastics that can be convoluted with photon shot noise.

Journal ArticleDOI
TL;DR: This work discusses the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.
Abstract: Grayscale lithography is a widely known but underutilized microfabrication technique for creating three-dimensional (3-D) microstructures in photoresist. One of the hurdles for its widespread use is that developing the grayscale photolithography masks can be time-consuming and costly since it often requires an iterative process, especially for complex geometries. We discuss the use of PROLITH, a lithography simulation tool, to predict 3-D photoresist profiles from grayscale mask designs. Several examples of optical microsystems and microelectromechanical systems where PROLITH was used to validate the mask design prior to implementation in the microfabrication process are presented. In all examples, PROLITH was able to accurately and quantitatively predict resist profiles, which reduced both design time and the number of trial photomasks, effectively reducing the cost of component fabrication.

Journal ArticleDOI
TL;DR: In this article, the molecular structure of Zn-based metal oxoclusters is preserved upon thin film deposition and study aging processes of the thin film under different atmospheres, since these chemical changes affect the solubility properties of the material.
Abstract: Background: Hybrid inorganic-organic materials have emerged as promising candidates for EUV resists. However, knowledge on their stability when deposited as thin films is essential for their performance in EUV lithography. Aim: We investigate whether the molecular structure of Zn-based metal oxoclusters is preserved upon thin film deposition and study aging processes of the thin film under different atmospheres, since these chemical changes affect the solubility properties of the material. Approach: A hybrid cluster that combines the high EUV photon absorption cross-sections of zinc and fluorine with the reactivity of methacrylate organic ligands was synthesized. The structural modifications upon thin film formation and after aging in air, nitrogen, and vacuum were studied using a combination of spectroscopic techniques. Preliminary studies on the lithographic performance of this material were performed by EUV interference lithography. Results: The Zn-based compound undergoes structural rearrangements upon thin film deposition as compared to the bulk material. The thin films degrade in air over 24 h, yet they are found to be stable for the duration and conditions of the lithography process and show high sensitivity. Conclusions: The easy dissociation of the ligands might facilitate hydrolysis and rearrangements after spin-coating, which could affect the reproducibility of EUV lithography.

Journal ArticleDOI
TL;DR: In this paper, a resonant soft x-ray scattering (RSoXS) technique is applied to gain insights into the structure of patterned EUV resists before the development step takes place.
Abstract: Extreme ultraviolet (EUV) lithography is one of the most promising printing techniques for high-volume semiconductor manufacturing at the 14-nm half-pitch device node and beyond. However, key challenges around EUV photoresist materials, such as the exposure-dose sensitivity or the line-width roughness, continue to impede its full adoption into industrial nanofab facilities. Metrology tools are required to address these challenges by helping to assess the impact of the EUV materials’ properties and processing conditions along different steps of the nanofabrication process. We apply the resonant soft x-ray scattering (RSoXS) technique to gain insights into the structure of patterned EUV resists before the development step takes place. By using energies around the carbon K-edge to take advantage of small differences in chemistry, the electronic density contrast between the exposed and unexposed regions of the resists could be enhanced in order to image the patterns with subnanometer precision. Critical-dimension grazing-incidence small-angle x-ray scattering is then performed at energies where the contrast is maximized, enabling the reconstruction of the three-dimensional shape of the latent image. We demonstrate the potential of RSoXS to provide a high-resolution height-sensitive profile of patterned EUV resists, which will help in quantifying the evolution of critical features, such as the line-edge roughness, at a key step of the nanofabrication process.

Journal ArticleDOI
19 Feb 2019
TL;DR: A series of molecular glass compounds (SP-BOC, SP-AD, and SP-BU) based on 9,9′-spirobifluorene backbone with different kinds of pendant groups (t-butyloxycarbonyl, adamantyl ester, and t-Butyl este...
Abstract: A series of molecular glass compounds (SP-BOC, SP-AD, and SP-BU) based on 9,9′-spirobifluorene backbone with different kinds of pendant groups (t-butyloxycarbonyl, adamantyl ester, and t-butyl este...

Journal ArticleDOI
TL;DR: In this paper, a novel nanoimprint resist was formulated and characterized in this work. And the new resist formulation contains 3,9-diethyl- 3, 9-bis(allyloxymethyl)-1,5,7,11- tetraoxastetraoxaspiro [ 5 ] undecane (DB-TOSU), which is a liquid spiroorthocarbonate monomer that undergoes volume expansion upon acid-catalyzed polymerization.

Journal ArticleDOI
TL;DR: Thin film stacks consisting of multiple repeats M of synthetic antiferromagnetic (SAF) units with perpendicular magnetic anisotropy were explored as potential starting materials to fabricate free-standing micro/nanodisks, which represent a promising candidate system for theranostic applications.
Abstract: Thin film stacks consisting of multiple repeats M of synthetic antiferromagnetic (SAF) [Co/Pd]N/Ru/[Co/Pd]N units with perpendicular magnetic anisotropy were explored as potential starting materials to fabricate free-standing micro/nanodisks, which represent a promising candidate system for theranostic applications. The films were directly grown on a sacrificial resist layer spin-coated on SiOx/Si(100) substrates, required for the preparation of free-standing disks after its dissolution. Furthermore, the film stack was sandwiched between two Au layers to allow further bio-functionalization. For M ≤ 5, the samples fulfill all the key criteria mandatory for biomedical applications, i.e., zero remanence, zero field susceptibility at small fields and sharp switching to saturation, together with the ability to vary the total magnetic moment at saturation by changing the number of repetitions of the multi-stack. Moreover, the samples show strong perpendicular magnetic anisotropy, which is required for applications relying on the transduction of a mechanical force through the micro/nano-disks under a magnetic field, such as the mechanical cell disruption, which is nowadays considered a promising alternative to the more investigated magnetic hyperthermia approach for cancer treatment. In a further step, SAF microdisks were prepared from the continuous multi-stacks by combining electron beam lithography and Ar ion milling, revealing similar magnetic properties as compared to the continuous films.

Journal ArticleDOI
TL;DR: A new class of acid labile poly(aryl acetal) polymers has been developed that can be used in photoresist formulations for next-generation microlithography techniques including extreme ultraviolet (EUV) or electron beam lithography as discussed by the authors.
Abstract: A new class of acid labile poly(aryl acetal) polymers has been developed that can be used in photoresist formulations for next-generation microlithography techniques including extreme ultraviolet (EUV) or electron beam lithography. Example polymers have been synthesized by an optimized Suzuki polycondensation protocol. They are soluble in common photoresist solvents but are insoluble in water or aqueous bases that are used to develop positive photoresists. The structural design includes further elements that are aimed at improving photoresist resolution, stability, and etch resistance. Upon acid exposure, the acetal linkages are cleaved, and the polymers degrade into phenolic terphenyl fragments, which are readily soluble in a photoresist developer. Polymer degradation has been studied by NMR and LC-MS. Lithographic formulations have been developed and tested in line-and-space patterning experiments using EUV photolithography. Optimized resist formulations achieved 22 nm resolution with line width roughne...

Journal ArticleDOI
TL;DR: This work reports enhanced thermal stability of polycarbonate nanostructures at temperatures well above their glass transition temperatures and develops a unique technique for high-resolution polymer patterning by polymer reflows regardless of the annealing time, which avoids the time-domain nonlinear reflow of the polymer melt.
Abstract: Thermoplastic polymer micro- and nanostructures suffer pattern decay when heated to a temperature close to or above the polymer's glass transition temperature. In this work, we report enhanced thermal stability of polycarbonate nanostructures at temperatures well above their glass transition temperatures. Based on this observation, we develop a unique technique for high-resolution polymer patterning by polymer reflows. This technique is characterized as the precise control of polymer reflows regardless of the annealing time, which avoids the time-domain nonlinear reflow of the polymer melt. We also implement thermal nanoimprinting in a step-and-repeat fashion, which dramatically increases the throughput of the thermal nanoimprint. The enhanced pattern stability against thermal reflow also allows for multiple imprinting at the same location to generate complex resist patterns from a simple mold structure. Since modern lithography often uses thin resist films (sub-100 nm) due to the restraint from the pattern aspect ratio, the unusual annealing behavior of thin polymer films is highly relevant in sub-100 nm lithographic processing.