scispace - formally typeset
Search or ask a question

Showing papers on "Resist published in 2022"


Journal ArticleDOI
TL;DR: In this paper , the authors have carried out a review on different types of lithographic techniques such as optical lithography, extreme ultraviolet lithography (EVL), electron beam and X-ray lithography and ion beam lithography.
Abstract: In this era, electronic devices such as mobile phones, computers, laptops, sensors, and many more have become a necessity in healthcare, for a pleasant lifestyle, and for carrying out tasks quickly and easily. Different types of temperature sensors, biosensors, photosensors, etc., have been developed to meet the necessities of people. All these devices have chips inside them fabricated using diodes, transistors, logic gates, and ICs. The patterning of the substrate which is used for the further development of these devices is done with the help of a technique known as lithography. In the present work, we have carried out a review on different types of lithographic techniques such as optical lithography, extreme ultraviolet lithography, electron beam lithography, X-ray lithography, and ion beam lithography. The evolution of these techniques with time and their application in device fabrication are discussed. The different exposure tools developed in the past decade to enhance the resolution of these devices are also discussed. Chemically amplified and non-chemically amplified resists with their bonding and thickness are discussed. Mask and maskless lithography techniques are discussed along with their merits and demerits. Device fabrication at micro and nano scale has been discussed. Advancements that can be made to improve the performance of these techniques are also suggested.

20 citations


Journal ArticleDOI
TL;DR: In this article , femtosecond laser direct writing (FsLDW) of hydrogen silsesquioxane (HSQ) through multi-photon absorption process is demonstrated.
Abstract: It's critically important to construct arbitrary inorganic features with high resolution. As an inorganic photoresist, hydrogen silsesquioxane (HSQ) has been patterned by irradiation sources with short wavelength, such as EUV and electron beam. However, the fabrication of three- dimensional nanoscale HSQ features utilizing infrared light sources is still challenging. Here, we demonstrate femtosecond laser direct writing (FsLDW) of HSQ through multi-photon absorption process. 26 nm feature size is achieved by using 780 nm fs laser, indicating super-diffraction limit photolithography of λ/30 for HSQ. HSQ microstructures by FsLDW possess nanoscale resolution, smooth surface, and thermal stability up to 600 °C. Furthermore, we perform FsLDW of HSQ to construct structural colour and Fresnel lens with desirable optical properties, thermal and chemical resistance. This study demonstrates that inorganic features can be flexibly achieved by FsLDW of HSQ, which would be prospective for fabricating micro-nano devices requiring nanoscale resolution, thermal and chemical resistance.

18 citations


Journal ArticleDOI
TL;DR: In this paper , the authors used molecular dynamics simulations to investigate the ballistic resistances of high-entropy alloys and elucidate underlying mechanisms of their resistance to ballistic impact, showing that the alloys' ballistic resistance dominantly benefit from active dislocations generated at higher strain rates.
Abstract: High-entropy alloys (HEAs) are promising to provide effective antiballistic capability because of their superior mechanical properties. However, the twinning-active Cantor alloy is found less ballistic resistant, compared with its Mn-free companion. It is unclear how the HEAs resist ballistic impact and why Mn does not benefit the ballistic resistance. Here, we used molecular dynamics simulations to investigate the ballistic resistances of CrMnFeCoNi and CrFeCoNi and elucidate underlying mechanisms. It is shown that the alloys’ ballistic resistances dominantly benefit from active dislocations generated at higher strain rates. Stronger atomic bonding and higher dislocation densities make the CrFeCoNi easier to be strain hardened with elevated toughness to resist high-speed deformation, while weaker atomic bonding and easier occurrence of dislocation tangling make CrMnFeCoNi less resistant to failure under ballistic impact. This work helps better understand the antiballistic behavior of HEAs and guide the design of armor and energy-absorption materials.

14 citations


Journal ArticleDOI
TL;DR: In this paper , the authors discuss how the inland fisheries management approaches of stocking, regulations, habitat improvement, and community manipulations can be applied within the Resist-Accept-Direct (RAD) framework.
Abstract: Climate change is altering the distribution, phenology (e.g., timing of spawning), and community dynamics of freshwater fishes. Managers have three options for responding to these changes: “Resist” change to maintain or restore historic abiotic and biological conditions; “Accept” change and manage within the new conditions; or “Direct” change to produce new conditions considered desirable by humans. I discuss how the inland fisheries management approaches of stocking, regulations, habitat improvement, and community manipulations can be applied within the Resist-Accept-Direct (RAD) framework. I also discuss ways to choose among these options and how ecological tipping points can be used to determine when Resist is no longer a feasible option and managers must shift to Accept or Direct options.

13 citations


Proceedings ArticleDOI
25 May 2022
TL;DR: In this article , core technology solutions for EUV patterning and co-optimization between EUV resist and underlayer coating, development and plasma etch transfer to achieve best in class patterning performance are presented.
Abstract: In this talk we present core technology solutions for EUV Patterning and co-optimization between EUV resist and underlayer coating, development and plasma etch transfer to achieve best in class patterning performance. We will introduce new hardware and process innovations to address EUV stochastic issues, and present strategies that can extend into High NA EUV patterning. A strong focus will be placed on dose reduction opportunities, thin resist enablement and resist pattern collapse mitigation technologies. CAR and MOR performance for leading edge design rules will be showcased. As the first High NA EUV scanner is scheduled to be operational in 2023 in the joint high NA lab in Veldhoven, Tokyo Electron will collaborate closely with imec, ASML and our materials partners to accelerate High NA learning and support EUV roadmap extension.

13 citations


Journal ArticleDOI
TL;DR: A resist-free lithography method, based on direct laser patterning and resist- free electrode transfer, which avoids unintentional modification to the 2D materials throughout the process is reported, which will enable a rational design of reproducible processes for making large-scale integrated circuits based on twoD materials and other surface-sensitive materials.
Abstract: Photolithography and electron-beam lithography are the most common methods for making nanoscale devices from semiconductors. While these methods are robust for bulk materials, they disturb the electrical properties of two-dimensional (2D) materials, which are highly sensitive to chemicals used during lithography processes. Here, we report a resist-free lithography method, based on direct laser patterning and resist-free electrode transfer, which avoids unintentional modification to the 2D materials throughout the process. We successfully fabricate large arrays of field-effect transistors using MoS2 and WSe2 monolayers, the performance of which reflects the properties of the pristine materials. Furthermore, using these pristine devices as a reference, we reveal that among the various stages of a conventional lithography process, exposure to a solvent like acetone changes the electrical conductivity of MoS2 the most. This new approach will enable a rational design of reproducible processes for making large-scale integrated circuits based on 2D materials and other surface-sensitive materials.

11 citations


Book ChapterDOI
TL;DR: In this paper, two different photolithography methods are described: liquid and dry photolithographic methods, which are used to produce polymer-based microdevices. But neither of them can be used for fabrication.
Abstract: Organs-on-Chip devices are generally fabricated by means of photo- and soft lithographic techniques. Photolithography is a process that involves the transfer of a pattern onto a substrate by a selective exposure to light. In particular, in this chapter two different photolithography methods will be described: liquid and dry photolithography. In liquid photolithography, a silicon wafer is spin-coated with liquid photoresist and exposed to UV light in order to be patterned. In dry photolithography, the silicon wafer is laminated with resist dry film before being patterned through UV light. In both cases, the UV light can be collimated on top of the wafer either through photomasks or by direct laser exposure. The obtained patterned wafer is then used as a mold for the soft lithographic process (i.e., replica molding) to produce polymer-based microdevices.

11 citations


Journal ArticleDOI
TL;DR: In this paper , the impact of film thickness on e-beam metrology was investigated by critical dimension scanning electron microscopy (CD SEM) using our current best-known methods (BKMs). Optimized settings minimizing such an impact were then studied using CD SEM as well as lowvoltage SEM.
Abstract: One of the many constraints of high numerical aperture extreme ultraviolet lithography is related to resist thickness. A critical consequence of moving from the current 0.33 to 0.55 NA (high NA) is depth of focus reduction. The question we seek to answer in the present study is how the resist thickness reduction, required to compensate for the drop in NA, impacts the e-beam metrology needed to characterize the process. The impact of film thickness on e-beam metrology was first investigated by critical dimension scanning electron microscopy (CD SEM) using our current best-known methods (BKMs). Optimized settings minimizing such an impact were then studied using CD SEM as well as low-voltage SEM. Atomic force microscopy was used to accurately characterize the sample thickness. Our results indicate that alternative operating conditions and BKMs are sometimes needed to meet the metrology requirements. However, even in the case of materials showing a large sensitivity to resist thinning, we were able to identify e-beam imaging conditions capable of meeting metrology specifications.

10 citations


Journal ArticleDOI
TL;DR: In this article , an optimized fabrication process for electric field (voltage gate) controlled nano-constriction spin Hall nano-oscillators (SHNOs), achieving feature sizes of <30 nm with easy to handle ma-N 2401 e-beam lithography negative tone resist.
Abstract: We demonstrate an optimized fabrication process for electric field (voltage gate) controlled nano-constriction spin Hall nano-oscillators (SHNOs), achieving feature sizes of <30 nm with easy to handle ma-N 2401 e-beam lithography negative tone resist. For the nanoscopic voltage gates, we utilize a two-step tilted ion beam etching approach and through-hole encapsulation using 30 nm HfOx. The optimized tilted etching process reduces sidewalls by 75% compared to no tilting. Moreover, the HfOx encapsulation avoids any sidewall shunting and improves gate breakdown. Our experimental results on W/CoFeB/MgO/SiO2 SHNOs show significant frequency tunability (6 MHz V-1) even for moderate perpendicular magnetic anisotropy. Circular patterns with diameter of 45 nm are achieved with an aspect ratio better than 0.85 for 80% of the population. The optimized fabrication process allows incorporating a large number of individual gates to interface to SHNO arrays for unconventional computing and densely packed spintronic neural networks.

9 citations


Journal ArticleDOI
TL;DR: The thermal scanning probe lithography (tSPL) is a nanofabrication method for the chemical and physical nanopatterning of a large variety of materials and polymer resists with a lateral resolution of 10 nm and a depth resolution of 1 nm as mentioned in this paper .
Abstract: Thermal scanning probe lithography (tSPL) is a nanofabrication method for the chemical and physical nanopatterning of a large variety of materials and polymer resists with a lateral resolution of 10 nm and a depth resolution of 1 nm. In this Primer, we describe the working principles of tSPL and highlight the characteristics that make it a powerful tool to locally and directly modify material properties in ambient conditions. We introduce the main features of tSPL, which can pattern surfaces by locally delivering heat using nanosized thermal probes. We define the most critical patterning parameters in tSPL and describe post-patterning analysis of the obtained results. The main sources of reproducibility issues related to the probe and the sample as well as the limitations of the tSPL technique are discussed together with mitigation strategies. The applications of tSPL covered in this Primer include those in biomedicine, nanomagnetism and nanoelectronics; specifically, we cover the fabrication of chemical gradients, tissue-mimetic surfaces, spin wave devices and field-effect transistors based on two-dimensional materials. Finally, we provide an outlook on new strategies that can improve tSPL for future research and the fabrication of next-generation devices. Thermal scanning probe lithography (tSPL) is a nanofabrication method for the chemical and physical nanopatterning of a large variety of materials and polymer resists. Riedo and colleagues introduce the main features of tSPL, define the most critical patterning parameters and describe post-patterning analysis of the obtained results.

8 citations


Proceedings ArticleDOI
23 May 2022
TL;DR: This paper proposes an encryption resistent DNN watermarking scheme, which is able to resist the parameter shuffling based DNN encryption, and proposes a MappingNet to map the the fused kernels into a higher dimension to increase the watermarked capacity.
Abstract: Deep neural network (DNN) watermarking is one of the main techniques to protect the DNN. Although various DNN watermarking schemes have been proposed, none of them is able to resist the DNN encryption. In this paper, we propose an encryption resistent DNN watermarking scheme, which is able to resist the parameter shuffling based DNN encryption. Unlike the existing schemes which use the kernels separately for watermarking embedding, we propose to embed the watermark into the fused kernels to resist the parameter shuffling. We further propose a MappingNet to map the the fused kernels into a higher dimension to increase the watermarking capacity. The MappingNet and the DNN are jointly trained to conduct final watermark embedding. Experimental results indicate the effectiveness of our proposed scheme for resisting the DNN encryption.

Journal ArticleDOI
TL;DR: In this paper , the authors developed ternary blends containing ground granulated blast furnace slag (GGBFS) and DWTS content to overcome the strength loss caused by dilution.

Proceedings ArticleDOI
25 May 2022
TL;DR: In this paper , the authors investigated the thickness effect on key factors, such as film density, coefficient of thermal expansion (CTE), film Tg and surface energy, and consequent impact on EUVL performance while chemical composition of underlayers were not altered.
Abstract: In extreme ultraviolet lithography (EUVL), underlayers have been introduced to improve process window, stochastic printing failures, LWR and even photo-speed. As a result, studies about chemical and physical interactions at resist-underlayer interface have been reported and appropriate designs of silicon based hardmasks and thin organic underlayers for EUVL have been proposed for recent years. EUV underlayers are required to have not only chemical moieties for EUV specific functions but also proper physical properties. The thickness of underlayer has continuously shrink down to a few nanometers to reduce dry etch burden for effective transfer of small patterned features to substrates. In this paper, we report noticeable property variation of an organic underlayer thin film by confinement effect upon thickness reduction. We investigated the thickness effect on key factors, such as film density, coefficient of thermal expansion (CTE), film Tg and surface energy, and consequent impact on EUVL performance while chemical composition of underlayers were not altered.

Journal ArticleDOI
05 Apr 2022
TL;DR: In this article , a relationship between CDSEM image signal-to-noise ratio (SNR) and unbiased line width roughness (uLWR) was found.
Abstract: Background: The chemically amplified resist (CAR) has been the workhorse of lithography for the past few decades. During the evolution of projection lithography to extreme ultraviolet lithography (EUVL), a continuous reduction in feature size is observed. Also, a reduction in resist film thickness (FT) is required to prevent large aspect ratios that lead to pattern collapse. A further reduction in resist FT, into an ultrathin film regime (<30 nm resist FT), is expected when advancing to high NA EUVL. This brings along associated challenges with (1) resist critical dimension scanning electron microscope (CDSEM) metrology and (2) resist patterning performance. Aim: Assessment of metrology challenges and patterning limits of a CAR working in this ultrathin film regime. Deconvoluting the metrology and patterning effect on the determination of the unbiased line width roughness (uLWR). Approach: Patterning a CAR at different nominal resist FTs on two different underlayers to quantify the changes in CDSEM image quality and resist patterning performance with the resulting uLWR changes. Results: The CDSEM image signal-to-noise ratio (SNR) depends on resist FT and the underlayer. The uLWR increases with a reduction in resist FT but scales differently on the two underlayers. Conclusions: A relationship between CDSEM image SNR and uLWR is found. The SNR and uLWR scaling difference on the two underlayers, as well as the uLWR dependency on SNR was determined to be a metrology effect. The general uLWR increase for a reduced resist FT was determined to be a patterning effect.

Journal ArticleDOI
TL;DR: In this paper , curable zirconia nanoclusters (Zr-NCs) and click-chemistry were incorporated together to form an organic-inorganic hybrid photoresist.
Abstract: Although the development of two-photon lithography (TPL) for decades of years, fabrication of 2D micro architectures with high throughput is still challenging, due to the lack of suitable photoresists for ultra-fast TPL. In present work, curable zirconia nanoclusters (Zr-NCs) and click-chemistry were incorporated together to form an organic-inorganic hybrid photoresist. Interestingly, Zr-NCs endowed photoresists higher photosensitivity by means of improving the adsorption capacity and reducing the absolute fluorescence quantum yield of used initiator. Moreover, oxygen inhibition had been eliminated by effective thiol-ene click reaction. As a result, the maximum lithography speed of achieved photoresist was significantly enhanced to 2.0 m s−1, that was dozens of times higher than the reported TPL photoresists. Meanwhile, the photoresist also exhibited a distinguish minimum feature size (59 nm), attributed to high sensitivity and inhibited diffusion of free radical in solid photoresist film. Finally, a high quality micro QR code had been successful prepared by our photoresist at 1.0 m s−1, which can be recognized to visit the affiliated website. Therefore, this work might provide an alternative strategy to pave the way of ultra-fast 2D TPL.

Journal ArticleDOI
TL;DR: A molecular glass compound (AD-10Boc) based on adamantane derivatives was synthesized and characterized in this article , and the thermal analysis indicated a glass transition temperature (Tg) of 80.6 ℃ and a thermal...
Abstract: A novel molecular glass compound (AD-10Boc) based on adamantane derivatives was synthesized and characterized. The thermal analysis indicated that a glass transition temperature (Tg) of 80.6 ℃ and a thermal...

Journal ArticleDOI
TL;DR: In this paper , a Hf-based hybrid thin film resist, known as "hafnicone", is deposited from the vapor-phase via molecular layer deposition (MLD), and its electron-beam and deep-ultraviolet (DUV)-induced patterning mechanism is explored.
Abstract: The development of new resist materials is vital to fabrication techniques for next-generation microelectronics. Inorganic resists are promising candidates because they have higher etch resistance, are more impervious to pattern collapse, and are more absorbing of extreme ultraviolet (EUV) radiation than organic resists. However, there is limited understanding about how they behave under irradiation. In this work, a Hf-based hybrid thin film resist, known as "hafnicone", is deposited from the vapor-phase via molecular layer deposition (MLD), and its electron-beam and deep-ultraviolet (DUV)-induced patterning mechanism is explored. The hafnicone thin films are deposited at 100 °C by using the Hf precursor tetrakis(dimethylamido)hafnium(IV) and the organic precursor ethylene glycol. E-beam lithography, scanning electron microscopy, and profilometry are used to investigate the resist performance of hafnicone. With 3 M HCl as the developer, hafnicone behaves as a negative tone resist which exhibits a sensitivity of 400 μC/cm2 and the ability to resolve 50 nm line widths. The resist is characterized via X-ray photoelectron spectroscopy (XPS) and infrared spectroscopy (IR) to investigate the patterning mechanism, which is described in the context of classical nucleation theory. This study of hafnicone hybrid MLD demonstrates the ability for the bottom-up vapor deposition of inorganic resists to be utilized in advanced e-beam and DUV lithographic techniques.

Journal ArticleDOI
TL;DR: In this paper , an electron-beam-lithography process with a poly(methyl methacrylate) resist based on the nonpolar solvents o-xylene, hexane, and toluene was demonstrated.
Abstract: Metal halide perovskites (MHPs) have been studied intensely as the active material for optoelectronic devices. Lithography methods for perovskites remain limited because of the solubility of perovskites in polar solvents. Here, we demonstrate an electron-beam-lithography process with a poly(methyl methacrylate) resist based on the nonpolar solvents o-xylene, hexane, and toluene. Features down to 50 nm size are created, and photoluminescence of CsPbBr3 nanowires exhibits no degradation. We fabricate metal contacts to single CsPbBr3 nanowires, which show a strong photoresponsivity of 0.29 A W–1. The presented method is an excellent tool for nanoscale MHP science and technology, allowing for the fabrication of complex nanostructures.

Journal ArticleDOI
TL;DR: In this article , a new class of electron bean negative tone resist materials has been developed based on heterometallic rings, which demonstrates a resolution of 15 nm half pitch but at the expense of a low sensitivity.
Abstract: A new class of electron bean negative tone resist materials has been developed based on heterometallic rings. The initial resist performance demonstrates a resolution of 15 nm half‐pitch but at the expense of a low sensitivity. To improve sensitivity a 3D Monte Carlo simulation is used that utilizes a secondary and Auger electron generation model. The simulation suggests that the sensitivity can be dramatically improved while maintaining high resolution by incorporating appropriate chemical functionality around the metal–organic core. The new resists designs based on the simulation have the increased sensitivity expected and illustrate the value of the simulation approach.

Proceedings ArticleDOI
26 May 2022
TL;DR: In this paper , the impact of resist thickness reduction on the performance of various metrology techniques was investigated, such as Critical Dimension Scanning Electron Microscope (CD SEM), Atomic Force Microscopy (AFM), Low Voltage SEM (LV SEM), scatterometry, Pattern Shift Response (PSR), and optical defect inspection.
Abstract: One of the many constrains of High Numerical Aperture Extreme Ultraviolet Lithography (High NA EUVL) is related to resist thickness. In fact, one of the consequences of moving from current 0.33NA to 0.55NA (high NA) is the Depth of Focus (DOF) reduction. In addition, as the resist feature lines shrink down to 8nm half pitch, it is essential to limit the aspect ratio to avoid pattern collapse. The direct consequence of such a situation is that a resist thickness of 30nm, usually used for 32nm pitch dense line/space (LS), will not be suitable for 16nm pitch, where the target thickness is expected to be 15nm thickness or less to ensure a similar aspect ratio. The question we need to answer is how the resist thickness reduction will impact the various metrology techniques needed to properly set up a process. To address this question, a set of wafers using both Chemical Amplified Resist (CAR) and Metal Oxide Resist (MOR) at different thicknesses and with different types of underlayer have been generated for LS patterns at 32nm pitch. We first investigated the impact of film thickness by scanning electron microscope (SEM) on the imaging of CAR resist lines. To start with, our current Best-Known Methods (BKM’s) were used to acquire the SEM images. As resist thickness decreases, noise level and image contrast are observed to degrade dramatically. Such an image quality degradation may directly impact the quality of the CD measurements both in terms of accuracy and precision. In this paper we investigated the thin resist wafer set described above using various techniques, such as Critical Dimension Scanning Electron Microscope (CD SEM), Atomic Force Microscopy (AFM), Low Voltage SEM (LV SEM), scatterometry, Pattern Shift Response (PSR), and optical defect inspection. The impact of the resist thickness is estimated for each approach, and optimal settings were investigated to minimize the relative impact on metrology. Our results indicated that, in most cases, alternative operation conditions and BKM settings, sometimes drastically different from the usual operation condition, must be used to guarantee the metrology requirements. Our results show that, despite the impact of thinning resist materials, it is possible to find appropriate settings to strengthen the metrology quality output.

Journal ArticleDOI
TL;DR: In this paper , a low-molecular-mass single-source precursor resist (based on cadmium(II) ethylxanthate complexed with pyridine) is demonstrated to achieve a resolution that closely matches the measured probe diameter.
Abstract: It has been long known that low molecular weight resists can achieve a very high resolution, theoretically close to the probe diameter of the electron beam lithography (EBL) system. Despite technological improvements in EBL systems, the advances in resists have lagged behind. Here we demonstrate that a low-molecular-mass single-source precursor resist (based on cadmium(II) ethylxanthate complexed with pyridine) is capable of a achieving resolution (4 nm) that closely matches the measured probe diameter (∼3.8 nm). Energetic electrons enable the top-down radiolysis of the resist, while they provide the energy to construct the functional material from the bottom-up─unit cell by unit cell. Since this occurs only within the volume of resist exposed to primary electrons, the minimum size of the patterned features is close to the beam diameter. We speculate that angstrom-scale patterning of functional materials is possible with single-source precursor resists using an aberration-corrected electron beam writer with a spot size of ∼1 Å.

Journal ArticleDOI
TL;DR: Wang et al. as discussed by the authors introduced a new wet development (DEV) method to improve the performance of metal oxide resists (MOR), which is named ESPERTTM** (Enhanced Sensitivity develoPER Technology).
Abstract: One of the critical challenges for delivering next nodes or high-NA extreme ultraviolet (EUV) lithography to high volume manufacturing (HVM) in the semiconductor industry is to have a high-performance EUV resist process. The high-performance resist process needs to simultaneously meet multiple requirements, such as high resolution, high sensitivity, low roughness, a low defect level, and good global CD uniformity (CDU). In this paper, we will introduce a new wet development (DEV) method to improve the performance of metal oxide resists (MOR), which is named ESPERTTM** (Enhanced Sensitivity develoPER Technology). This newly invented method can meet multiple requirements together where it is not possible with the conventional development method. With 36 nm pitch pillar patterns, we have confirmed with after etch inspection (AEI) data that the new method produced 22% improvement in EUV dose and 7% improvement in LCDU, simultaneously. No pattern collapse was observed at least up to 15.4 nm pillar size. In the case of 30 nm pitch line/space (L/S) pattern, the improvements were 26% in EUV sensitivity and 12.3% in LWR also with AEI results. Furthermore, the new method could also shift the bridging cliff 0.5 nm to the larger line CD while its global CDU was improved 2.6 times. The new data achieved by the new wet development method make us believe that MOR are mostly ready for HVM and this new method is also aiming to be used in high NA EUV lithography in the near future.

Proceedings ArticleDOI
26 May 2022
TL;DR: Contour-based metrology offers complementary metrics, useful to characterize or center a process, increasing reliability by doing an extensive analysis of a fair number of CD-SEM images, are capable of detecting early signs of process instability.
Abstract: Background: Stochastic effects stated as the ultimate limit of EUV lithography are widely studied by resist suppliers, mask and metrology tool fabricants. Aim: Although the phenomenon is less explicitly visible, we want to highlight its presence in DUV through variabilities in critical dimension (CD), in shape and in position. These manifestations are not yield killers but may become reliability killers, if combined with some local overlay. Approach: Contour extractions are done from CD-SEM images to enable in-depth computational analysis of the data, to characterize local variabilities, alternatively to massive metrology solutions. This augmented computational metrology multiplies the volume of accessible CD data without requiring any supplementary tool purchasing, as they are already included within conventionally stored SEM images. Results: Contour extractions allowed to detect 5σ deviations from normal law on CD distribution from 1000 images. A set of complementary metrics quantities shape and size uniformities, as well as pattern displacement. It leads to a narrower process window where stochastic effects are minimized. Conclusion: Contour-based metrology offers complementary metrics, useful to characterize or center a process, increasing reliability by doing an extensive analysis of a fair number of CD-SEM images. Are these metrics capable of detecting early signs of process instability?

Journal ArticleDOI
TL;DR: In this paper , a photoresist based on the photochemistry of the bimane group is introduced that can be degraded upon exposure to very mild conditions, requiring only water and visible light (λmax = 415 −435 nm) irradiation.
Abstract: The additive manufacturing technique direct laser writing (DLW), also known as two‐photon laser lithography, is becoming increasingly established as a technique capable of fabricating functional 3D microstructures. Recently, there has been an increasing effort to impart microstructures fabricated using DLW with advanced functionalities by introducing responsive chemical entities into the underpinning photoresists. Herein, a novel photoresist based on the photochemistry of the bimane group is introduced that can be degraded upon exposure to very mild conditions, requiring only water and visible light (λmax = 415–435 nm) irradiation. The degradation of the microstructures is tracked and quantified using AFM measurements of their height. The influence of the writing parameters as well as the degradation conditions is investigated, unambiguously evidencing effective visible light degradation in aqueous environments. Finally, the utility of the photodegradable resist system is demonstrated by incorporating it into multimaterial 3D microstructures, serving as a model for future applications.

Journal ArticleDOI
TL;DR: In this article , the effect of the surface free energy of the organic underlayer on the dissolution kinetics of poly(4-hydroxystyrene) (PHS) film in a tetramethylammonium hydroxide (TMAH) aqueous developer was investigated by the quartz crystal microbalance (QCM) method.
Abstract: Understanding the interaction of resist materials with the underlayers is important for the development of highly resolving resist materials. In this study, the effect of the surface free energy of the organic underlayer on the dissolution kinetics of poly(4-hydroxystyrene) (PHS) film in a tetramethylammonium hydroxide (TMAH) aqueous developer was investigated by the quartz crystal microbalance (QCM) method. By using 0.95 wt% TMAH standard developer, we observed the correlation between the polar component of the surface free energy of the underlayer and the interaction area of the QCM chart (impedance) near the end of PHS dissolution. The interaction area was defined by the product of impedance change and time. The interaction of the hydroxyl groups of PHS with the underlayer increased with the polar component of the surface free energy of the underlayer. The analytical method developed in this study is useful for the investigation of the resist-underlayer interaction during development.

Proceedings ArticleDOI
13 Jun 2022
TL;DR: In this article , the authors discuss the key findings from simulations and experimental work to develop the high-NA lithography ecosystem (resist and patterning, mask technology) and highlight the key areas where development is needed.
Abstract: High-NA EUV lithography will improve resolution by increasing the EUV scanner NA from 0.33 to 0.55. To fully benefit from the resolution gain offered by the better scanner lens, it is key to develop and improve the EUV ecosystem. The role of the ecosystem is to ensure timely availability of the advanced resist materials, photomasks, metrology techniques, OPC/imaging strategies, and patterning techniques. In this context, in parallel to the EXE:5000 0.55 NA EUV scanner manufacturing, imec and ASML, together with our partners, are addressing the main challenges and needs towards High-NA ecosystem readiness. In this paper, we will discuss the key findings from simulations and experimental work to develop the high-NA lithography ecosystem (resist and patterning, mask technology) and highlight the key areas where development is needed.

Journal ArticleDOI
TL;DR: In this paper , a series of t-butyloxycarbonyl (t-Boc) protected tetraphenylsilane derivatives were synthesized and used as resist materials to investigate the effect of the protecting ratio on advanced lithography.
Abstract: A series of t-butyloxycarbonyl (t-Boc) protected tetraphenylsilane derivatives (TPSi-Bocx, x = 60, 70, 85, 100%) were synthesized and used as resist materials to investigate the effect of t-Boc protecting ratio on advanced lithography. The physical properties such as solubility, film-forming ability, and thermal stability of TPSi-Bocx were examined to assess the suitability for application as candidates for positive-tone molecular glass resist materials. The effects of t-Boc protecting ratio had been studied in detail by electron beam lithography. The results suggest that the TPSi-Bocx resist with different t-Boc protecting ratios exhibit a significant change in contrast, pattern blur, and the density of bridge defect. The TPSi-Boc70% resist achieves the most excellent patterning capability. The extreme ultraviolet (EUV) lithography performance on TPSi-Boc70% was evaluated by using the soft X-ray interference lithography. The results demonstrate that the TPSi-Boc70% resist can achieve excellent patterning capability down to 20 nm isolated lines at 8.7 mJ/cm2 and 25 nm dense lines at 14.5 mJ/cm2. This study will help us to understand the relationship between the t-Boc protecting ratio and the patterning ability and supply useful guidelines for designing molecular resists.

Journal ArticleDOI
TL;DR: In this paper , the authors proposed a method for the assignment of the correct area dose for writing small features in a thick resist layer, where dose insufficiency is typically encountered when resolution approaches the focal spot of the electron beam itself.
Abstract: Electron-beam lithography (EBL) is a relevant technique to the nanoscience community as it enables the production of precise structures at the nanoscale. When writing features in a thick resist layer, dose insufficiency is typically encountered when resolution approaches the focal spot of the electron beam itself. We present a study of this phenomenon, a theory for its understanding and compensation, and a method for the assignment of the correct area dose for writing small features. Dose insufficiency originates from the proximity effect distributing energy in volumes of resist that are larger than intended. Based on a simple interpretation of the spread, a proximity effect correction (PEC) algorithm was established. Implementing this, we could realize high-quality nanostructures with direct-write 50 kV EBL on AR-P 6200 (CSAR 62) resist. The latter translates to quick and inexpensive exposures that offer good compatibility with further processes.

Journal ArticleDOI
TL;DR: In this paper , an exposure-controlled reflow approach is proposed to fabricate complex hierarchical optical metasurfaces using scalable self-assembly methods, where the feature sizes can be tuned by thermal reflow controlled locally by irradiative crosslinking or chemical alteration using lithographic ultraviolet light or electron beam exposure.
Abstract: Nanopatterning for the fabrication of optical metasurfaces entails a need for high-resolution approaches like electron beam lithography that cannot be readily scaled beyond prototyping demonstrations. Block copolymer thin film self-assembly offers an attractive alternative for producing periodic nanopatterns across large areas, yet the pattern feature sizes are fixed by the polymer molecular weight and composition. Here, a general strategy is reported which overcomes the limitation of the fixed feature size by treating the copolymer thin film as a hierarchical resist, in which the nanoscale pattern motif is defined by self-assembly. Feature sizes can then be tuned by thermal reflow controlled locally by irradiative cross-linking or chemical alteration using lithographic ultraviolet light or electron beam exposure. Using blends of polystyrene-block-poly(methylmethacrylate) (PS-b-PMMA) with PS and PMMA homopolymers, we demonstrate both self-assembled PS grating and hexagonal hole patterns; exposure-controlled reflow is then used to reduce the hole diameter by as much as 50% or increase the PS grating linewidth by more than 180%. Transferring these nanopatterns, or their inverse obtained by a lift-off approach, into silicon yields structural colors that may be prescriptively controlled based on the nanoscale feature size. Furthermore, patterned exposure enables area-selective feature size control, yielding uniform structural color patterns across centimeter square areas. Electron beam lithography is also used to show that the lithographic resolution of this selective-area control can be extended to the nanoscale dimensions of the self-assembled features. The exposure-controlled reflow approach demonstrated here takes a pivotal step toward fabricating complex, hierarchical optical metasurfaces using scalable self-assembly methods.

Journal ArticleDOI
TL;DR: A comprehensive historical review of the progress made in the patterning of metal-containing resists in electron beam lithography and derive insights that can be potentially useful in EUV patterning is presented in this article .
Abstract: Background: Metal-containing resists entered the mainstream semiconductor industry process flow to mitigate the low absorbance of extreme ultraviolet (EUV) radiation by thin films of organic resists that lead to poor sensitivity and their inability to handle rigors of development and etching conditions. Aim: The long and rich history of using metal-containing resists in electron beam lithography can offer interesting lessons, pointers, and insights to the relatively newcomer EUV lithography, which is slightly over a decade old. Approach: Electron beam lithography has been enjoying a considerable amount of freedom in the choice of resist materials for close to 50 years; especially the use of metal-containing resists to attain not only single digit nanometer resolution, higher sensitivity, and etch resistance but also lower line-edge roughness. Here, we make a comprehensive historical review of the progress made in the patterning of metal-containing resists in electron beam lithography and derive insights that can be potentially useful in EUV patterning. Perspectives: Small molecular weight resists are proven to be crucial for achieving higher resolution with low line-edge roughness. Simplifying process flow by reducing etch-stack-layers is conceivable with metal-containing resists, along with direct-patterning of functional materials for heterogeneous integration. Efficient contact hole patterning at tighter pitches may be incumbent on progress in positive-tone resist research.