scispace - formally typeset
Search or ask a question

Showing papers on "Routing (electronic design automation) published in 2003"


01 Jan 2003
TL;DR: This paper presents APIT, a novel localization algorithm that is range-free, and shows that the APIT scheme performs best when an irregular radio pattern and random node placement are considered, and low communication overhead is desired.
Abstract: Sensor Networks have been proposed for a multitude of location-dependent applications. For such systems, the cost and limitations of the hardware on sensing nodes prevent the use of range-based localization schemes that depend on absolute point- to-point distance estimates. Because coarse accuracy is sufficient for most sensor network applications, solutions in range-free localization are being pursued as a cost-effective alternative to more expensive range-based approaches. In this paper, we present APIT, a novel localization algorithm that is range-free. We show that our APIT scheme performs best when an irregular radio pattern and random node placement are considered, and low communication overhead is desired. We compare our work via extensive simulation, with three state-of-the-art range-free localization schemes to identify the preferable system configurations of each. In addition, we study the effect of location error on routing and tracking performance. We show that routing performance and tracking accuracy are not significantly affected by localization error when the error is less than 0.4 times the communication radio radius.

2,515 citations


Proceedings ArticleDOI
14 Sep 2003
TL;DR: In this paper, the authors present APIT, a novel localization algorithm that is range-free, which performs best when an irregular radio pattern and random node placement are considered, and low communication overhead is desired.
Abstract: Wireless Sensor Networks have been proposed for a multitude of location-dependent applications. For such systems, the cost and limitations of the hardware on sensing nodes prevent the use of range-based localization schemes that depend on absolute point-to-point distance estimates. Because coarse accuracy is sufficient for most sensor network applications, solutions in range-free localization are being pursued as a cost-effective alternative to more expensive range-based approaches. In this paper, we present APIT, a novel localization algorithm that is range-free. We show that our APIT scheme performs best when an irregular radio pattern and random node placement are considered, and low communication overhead is desired. We compare our work via extensive simulation, with three state-of-the-art range-free localization schemes to identify the preferable system configurations of each. In addition, we study the effect of location error on routing and tracking performance. We show that routing performance and tracking accuracy are not significantly affected by localization error when the error is less than 0.4 times the communication radio radius.

2,461 citations


Journal ArticleDOI
TL;DR: In this paper, the authors considered the application of a genetic algorithm (GA) to the basic vehicle routing problem (VRP), in which customers of known demand are supplied from a single depot.

779 citations


Proceedings ArticleDOI
09 Jul 2003
TL;DR: A joint routing and power allocation policy is developed which stabilizes the system and provides bounded average delay guarantees whenever the input rates are within this capacity region.
Abstract: We consider dynamic routing and power allocation for a wireless network with time varying channels. The network consists of power constrained nodes which transmit over wireless links with adaptive transmission rates. Packets randomly enter the system at each node and wait in output queues to be transmitted through the network to their destinations. We establish the capacity region of all rate matrices (/spl lambda//sub ij/) that the system can stably support - where (/spl lambda//sub ij/) represents the rate of traffic originating at node i and destined for node j. A joint routing and power allocation policy is developed which stabilizes the system and provides bounded average delay guarantees whenever the input rates are within this capacity region. Such performance holds for general arrival and channel state processes, even if these processes are unknown to the network controller. We then apply this control algorithm to an ad-hoc wireless network where channel variations are due to user mobility, and compare its performance with the Grossglauser-Tse (2001) relay model.

767 citations


Proceedings ArticleDOI
25 Aug 2003
TL;DR: The basic finding is that, despite the initial preference for more complex geometries, the ring geometry allows the greatest flexibility, and hence achieves the best resilience and proximity performance.
Abstract: The various proposed DHT routing algorithms embody several different underlying routing geometries. These geometries include hypercubes, rings, tree-like structures, and butterfly networks. In this paper we focus on how these basic geometric approaches affect the resilience and proximity properties of DHTs. One factor that distinguishes these geometries is the degree of flexibility they provide in the selection of neighbors and routes. Flexibility is an important factor in achieving good static resilience and effective proximity neighbor and route selection. Our basic finding is that, despite our initial preference for more complex geometries, the ring geometry allows the greatest flexibility, and hence achieves the best resilience and proximity performance.

621 citations


Journal ArticleDOI
TL;DR: A network flow model for identifying optimal lane-based evacuation routing plans in a complex road network is presented, an integer extension of the minimum-cost flow problem that can be used to generate routing plans that trade total vehicle travel-distance against merging, while preventing traffic crossing-conflicts at intersections.
Abstract: Most traffic delays in regional evacuations occur at intersections. Lane-based routing is one strategy for reducing these delays. This paper presents a network flow model for identifying optimal lane-based evacuation routing plans in a complex road network. The model is an integer extension of the minimum-cost flow problem. It can be used to generate routing plans that trade total vehicle travel-distance against merging, while preventing traffic crossing-conflicts at intersections. A mixed-integer programming solver is used to derive optimal routing plans for a sample network. Manual capacity analysis and microscopic traffic simulation are used to compare the relative efficiency of the plans. An application is presented for Salt Lake City, Utah.

483 citations


Journal ArticleDOI
TL;DR: This work describes a decomposition-based separation methodology for the capacity constraints that takes advantage of the ability to solve small instances of the TSP efficiently and presents some extensions of this basic concept and a general framework within which it can be applied to other combinatorial models.
Abstract: We consider the Vehicle Routing Problem, in which a fixed fleet of delivery vehicles of uniform capacity must service known customer demands for a single commodity from a common depot at minimum transit cost. This difficult combinatorial problem contains both the Bin Packing Problem and the Traveling Salesman Problem (TSP) as special cases and conceptually lies at the intersection of these two well-studied problems. The capacity constraints of the integer programming formulation of this routing model provide the link between the underlying routing and packing structures. We describe a decomposition-based separation methodology for the capacity constraints that takes advantage of our ability to solve small instances of the TSP efficiently. Specifically, when standard procedures fail to separate a candidate point, we attempt to decompose it into a convex combination of TSP tours; if successful, the tours present in this decomposition are examined for violated capacity constraints; if not, the Farkas Theorem provides a hyperplane separating the point from the TSP polytope. We present some extensions of this basic concept and a general framework within which it can be applied to other combinatorial models. Computational results are given for an implementation within the parallel branch, cut, and price framework SYMPHONY.

324 citations


Proceedings ArticleDOI
09 Nov 2003
TL;DR: Key aspects include better integrations with analysis and manufacturing interfaces, as well as cost-benefit tradeoffs for "regular"layout structures that are likely beyond 90nm, cost optimizations for low-volume production, and the role of robust and/or stochastic optimization in PD.
Abstract: Ultra-deep submicron manufacturability impacts physical design (PD) through complex layout rules and large guard-bands for process variability; this creates new requirements for new manufacturing-aware PD technologies. The first part of this tutorial reviews PD complications and methodology changes notably in the detailed routing arena - that arise from subwavelength lithography and deep-submicron manufacturing (antennas, metal planarization and mask-wafer mismatch). Process variations and their sources are taxonomized for modeling and simulation. A framework of design for cost and value is described. The second part covers yield-constrained optimizations in PD, especially "beyond corners" approaches that escape today's pessimistic or even incorrect corner-based approaches. Statistical timing and noise analyses enable optimization of parametric yield and reliability. Yield-aware cell libraries and "analog" design rules (as opposed to "digital", 0/1 rules) can help designers explore yield-cost tradeoffs, especially for low-volume parts. We then examine performance impact-limited fill insertion which goes beyond mere capacitance rules. Modeling, objectives, and filling strategies are discussed. Finally, we discuss current and near-term prospects for the overall design-to-manufacturing PD methodology. Key aspects include better integrations with analysis and manufacturing interfaces, as well as cost-benefit tradeoffs for "regular" layout structures that are likely beyond 90 nm, cost optimizations for low-volume production, and the role of robust and/or stochastic optimization in PD.

274 citations


Proceedings ArticleDOI
01 Jan 2003
TL;DR: The main idea is to turn off the radio of all leaf nodes to save power, and thereby extending the network lifetime, which makes the EADAT algorithm very efficient and effective, as demonstrated by the simulation experiments with NS2.
Abstract: Large-scale wireless sensor networks are expected to play an increasingly important role in future civilian and military settings. Collaborative microsensors could be very effective in monitoring their operations. However, low power and in-network data processing make data-centric routing in wireless sensor networks a challenging problem. In this paper we propose heuristics to construct and maintain an aggregation tree in sensor networks. This aggregation tree can be used to facilitate data-centric routing. The main idea is to turn off the radio of all leaf nodes to save power, and thereby extending the network lifetime. Therefore, in order to save the number of broadcasting messages, only the nonleaf nodes in the tree are in charge of data aggregation and traffic relaying. In this paper, we propose an efficient energy-aware distributed heuristic to generate the aggregation tree, which we refer to as EADAT. Our EADAT algorithm makes no assumption on local network topology, and is based on residual power. It makes use of neighboring broadcast scheduling and distributed competition among neighbors. These novel concepts make EADAT very efficient and effective, as demonstrated by our simulation experiments with NS2.

274 citations


Proceedings ArticleDOI
03 Mar 2003
TL;DR: An algorithm which automatically maps the IPs onto a generic regular Network on Chip (NoC) architecture and constructs a deadlock-free deterministic routing function such that the total communication energy is minimized and the performance is guaranteed to satisfy the specified constraints through bandwidth reservation.
Abstract: In this paper, we present an algorithm which automatically maps the IPs onto a generic regular Network on Chip (NoC) architecture and constructs a deadlock-free deterministic routing function such that the total communication energy is minimized. At the same time, the performance of the resulting communication system is guaranteed to satisfy the specified constraints through bandwidth reservation. As the main contribution, we first formulate the problem of energy/performance aware mapping, in a topological sense, and show how the routing flexibility can be exploited to expand the solution space and improve the solution quality. An efficient branch-and-bound algorithm is then described to solve this problem. Experimental results show that the proposed algorithm is very fast, and significant energy savings can be achieved. For instance, for a complex video/audio application, 51.7% energy savings have been observed, on average, compared to an ad-hoc implementation.

269 citations


Journal ArticleDOI
TL;DR: This paper proposes a tabu search approach characterized by a holding list and a mechanism to force dense packing within a route that allows time windows to be relaxed by introducing the notion of penalty for lateness.

Journal ArticleDOI
TL;DR: This paper proposes a new metric, the drain rate, to forecast the lifetime of nodes according to current traffic conditions, and describes new route selection mechanisms for MANET routing protocols, which are called the minimum drains rate (MDR) and the conditional minimum drain rate (CMDR).
Abstract: Untethered nodes in mobile ad hoc networks strongly depend on the efficient use of their batteries. In this paper, we propose a new metric, the drain rate, to forecast the lifetime of nodes according to current traffic conditions. This metric is combined with the value of the remaining battery capacity to determine which nodes can be part of an active route. We describe new route selection mechanisms for MANET routing protocols, which we call the minimum drain rate (MDR) and the conditional minimum drain rate (CMDR). MDR extends nodal battery life and the duration of paths, while CMDR also minimizes the total transmission energy consumed per packet. Using the ns-2 simulator and the dynamic source routing (DSR) protocol, we compare MDR and CMDR against prior proposals for energy-aware routing and show that using the drain rate for energy-aware route selection offers superior performance results. Methods keywords are system design and simulations.

Proceedings ArticleDOI
07 Jun 2003
TL;DR: It is shown that, using the proposed approach, it is possible to construct any family of constant degree graphs in a dynamic environment, though with worst parameters, and it is expected that more distributed data structures could be designed and implemented in aynamic environment.
Abstract: We propose a new approach for constructing P2P networks based on a dynamic decomposition of a continuous space into cells corresponding to processors. We demonstrate the power of these design rules by suggesting two new architectures, one for DHT (Distributed Hash Table) and the other for dynamic expander networks. The DHT network, which we call Distance Halving allows logarithmic routing and load, while preserving constant degrees. It offers an optimal tradeoff between the degree and the dilation in the sense that degree d guarantees a dilation of O(log dn). Another advantage over previous constructions is its relative simplicity. A major new contribution of this construction is a dynamic caching technique that maintains low load and storage even under the occurrence of hot spots. Our second construction builds a network that is guaranteed to be an expander. The resulting topologies are simple to maintain and implement. Their simplicity makes it easy to modify and add protocols. A small variation yields a DHT which is robust against random faults. Finally we show that, using our approach, it is possible to construct any family of constant degree graphs in a dynamic environment, though with worst parameters. Therefore we expect that more distributed data structures could be designed and implemented in a dynamic environment.

Journal Article
TL;DR: In this paper, a simple, practical, and static correlation-unaware clustering scheme that satisfies a min-max near-optimality condition is presented, and the implication for system design is that a static correlation unaware scheme can perform as well as sophisticated adaptive schemes for joint routing and compression.
Abstract: The efficacy of data aggregation in sensor networks is a function of the degree of spatial correlation in the sensed phenomenon. The recent literature has examined a variety of schemes that achieve greater data aggregation by routing data with regard to the underlying spatial correlation. A well known conclusion from these papers is that the nature of optimal routing with compression depends on the correlation level. In this article we show the existence of a simple, practical, and static correlation-unaware clustering scheme that satisfies a min-max near-optimality condition. The implication for system design is that a static correlation-unaware scheme can perform as well as sophisticated adaptive schemes for joint routing and compression.

Journal ArticleDOI
10 Nov 2003
TL;DR: A hybrid multiobjective evolutionary algorithm (HMOEA) that incorporates various heuristics for local exploitation in the evolutionary search and the concept of Pareto's optimality for solving multiobjectives optimization in VRPTW is proposed.
Abstract: Vehicle routing problem with time windows (VRPTW) involves the routing of a set of vehicles with limited capacity from a central depot to a set of geographically dispersed customers with known demands and predefined time windows. This paper proposes a hybrid multiobjective evolutionary algorithm (HMOEA) that incorporates various heuristics for local exploitation in the evolutionary search and the concept of Pareto's optimality for solving multiobjective optimization in VRPTW problems. The proposed HMOEA optimizes all routing constraints and objectives simultaneously, which improves the routing solutions in many aspects, such as lower routing cost, wider scattering area and better convergence trace.

Proceedings ArticleDOI
25 Aug 2003
TL;DR: A set of primitive operations and three library routing services that can be built on top of them are proposed, and how such libraries could be useful to overlay services are described.
Abstract: We argue that designing overlay services to independently probe the Internet--with the goal of making informed application-specific routing decisions--is an untenable strategy. Instead, we propose a shared routing underlay that overlay services query. We posit that this underlay must adhere to two high-level principles. First, it must take cost (in terms of network probes) into account. Second, it must be layered so that specialized routing services can be built from a set of basic primitives. These principles lead to an underlay design where lower layers expose large-scale, coarse-grained static information already collected by the network, and upper layers perform more frequent probes over a narrow set of nodes. This paper proposes a set of primitive operations and three library routing services that can be built on top of them, and describes how such libraries could be useful to overlay services.

01 Jan 2003
TL;DR: The architecture of wireless sensor network is briefly introduced, some valuable applications are explained and forecasted, and the hot spots including power-aware routing and media access control schemes are discussed and presented in detail.
Abstract: Sensor network, which is made by the convergence of sensor, micro-electro-mechanism system and networks technologies, is a novel technology about acquiring and processing information In this paper, the architecture of wireless sensor network is briefly introduced Next, some valuable applications are explained and forecasted Combining with the existing work, the hot spots including power-aware routing and media access control schemes are discussed and presented in detail Finally, taking account of application requirements, several future research directions are put forward

Journal ArticleDOI
TL;DR: Algorithms that compute the routing and wavelength assignment (RWA) for scheduled lightpath demands in a wavelength-switching mesh network without wavelength conversion functionality are presented.
Abstract: We present algorithms that compute the routing and wavelength assignment (RWA) for scheduled lightpath demands in a wavelength-switching mesh network without wavelength conversion functionality. Scheduled lightpath demands are connection demands for which the setup and teardown times are known in advance. We formulate separately the routing problem and the wavelength assignment problem as spatio-temporal combinatorial optimization problems. For the former, we propose a branch and bound algorithm for exact resolution and an alternative tabu search algorithm for approximate resolution. A generalized graph coloring approach is used to solve the wavelength assignment problem. We compared the proposed algorithms to an RWA algorithm that sequentially computes the route and wavelength assignment for the scheduled lightpath demands.

Patent
17 Jan 2003
TL;DR: In this paper, an architecture for nanoscale electronics is described, which consists of arrays of cross-programmable wires having selectively programmable crosspoints, where the wires of one array are shared by other arrays, thus providing signal propagation between the arrays.
Abstract: An architecture for nanoscale electronics is disclosed. The architecture comprises arrays of crossed nanoscale wires having selectively programmable crosspoints. Nanoscale wires of one array are shared by other arrays, thus providing signal propagation between the arrays. Nanoscale signal restoration elements are also provided, allowing an output of a first array to be used as an input to a second array. Signal restoration occurs without routing of the signal to non-nanoscale wires.

Proceedings ArticleDOI
25 May 2003
TL;DR: A switch-based network-centric architecture to interconnect IP blocks is proposed with a butterfly fat tree architecture as an overall interconnect template and wormhole routing is adopted to reduce overall latency and hardware overhead.
Abstract: System on Chip (SoC) design in the forthcoming billion transistor era will involve the integration of numerous heterogeneous semiconductor intellectual property (IP) blocks Some of the main problems in the ultra deep sub micron technologies characterized by gate lengths in the range of 50-100 nm arise from non-scalable global wire delays, failure to achieve global synchronization, errors due to signal integrity issues, and difficulties associated with non-scalable bus-based functional interconnect These problems are addressed in this paper by introducing a new design methodology A switch-based network-centric architecture to interconnect IP blocks is proposed We introduce a butterfly fat tree architecture as an overall interconnect template In this new interconnect architecture, switches are used to transfer data between IP blocks To reduce overall latency and hardware overhead, wormhole routing is adopted The proposed switch architecture supports this routing method Initial implementation of the switch reveals that the total switch area is expected to amount to less than 2% of a large SoC

Proceedings ArticleDOI
06 Apr 2003
TL;DR: This work investigates the architecture of a Via Patterned Gate Array (VPGA), focusing primarily on the optimal lookup table (LUT) size; and a comparison the crossbar and switch block routing architectures.
Abstract: In this work we investigate the architecture of a Via Patterned Gate Array (VPGA) [1], focusing primarily on: 1) the optimal lookup table (LUT) size; and 2) a comparison the crossbar and switch block routing architectures. Unlike FPGAs, the routing architectures in a VPGA do not dominate the total area of the circuit. Therefore our results suggest that using smaller LUTs results in a much faster and smaller design. In the routing architecture comparison, our results also show that the switch block architecture is inferior to the crossbar architecture in terms of area utilization. As the number of routing tracks grows, the switch block architecture begins to dominate the total area of the design as in the case of the FPGAs.

Proceedings Article
01 Jan 2003
TL;DR: A new protocol is proposed that works in concert with BGP, which Autonomous Systems will use to help detect and mitigate accidentally or maliciously introduced faulty routing information.
Abstract: BGP is essential to the operation of the Internet, but is vulnerable to both accidental failures and malicious attacks. We propose a new protocol that works in concert with BGP, which Autonomous Systems will use to help detect and mitigate accidentally or maliciously introduced faulty routing information. The protocol differs from previous efforts at securing BGP in that it is receiver-driven, meaning that there is a mechanism for recipients of BGP UPDATE messages to corroborate the information they receive and to provide feedback. We argue that our new protocol can be adopted incrementally, and we show that there is incentive for network operators to do so. We also describe our prototype implementation.

Patent
24 Dec 2003
TL;DR: In this article, a wireless data communication system includes a number of mobile data terminals organized into one more peer groups forming a dynamic mesh-like network, each terminal includes a transceiver to permit the direct exchange of wireless messages containing routing information including a destination address with any other terminal within range, and a processor programmed to prepare outgoing messages and extract the routing information contained within the wireless messages.
Abstract: A wireless data communication system includes a number of mobile data terminals organized into one more peer groups forming a dynamic mesh-like network. Each terminal includes a transceiver to permit the direct exchange of wireless messages containing routing information including a destination address with any other terminal within range, and a processor programmed to prepare outgoing messages and extract the routing information contained within the wireless messages. When the routing information contains an identification code of the receiving terminal, it either presents a received message for local processing in the receiving terminal if the received message is addressed thereto or forwards the received message to another terminal identified in the extracted routing information.

Proceedings ArticleDOI
19 Sep 2003
TL;DR: This paper proposes a novel and efficient energy-aware distributed heuristic, which is referred to as EAD, to build a special rooted broadcast tree with many leaves that is used to facilitate data-centric routing in wireless microsensor networks.
Abstract: Large-scale wireless sensors are expected to play an increasingly important role in future civilian and military settings where collaborative microsensors could be very effective in monitoring their operations. However, low power and in-network data processing make data-centric routing in wireless sensor networks a challenging problem.In this paper, we propose a novel and efficient energy-aware distributed heuristic, which we refer to as EAD, to build a special rooted broadcast tree with many leaves that is used to facilitate data-centric routing in wireless microsensor networks. Our EAD algorithm makes no assumption on local network topology, and is based on residual power. It makes use of a neighboring broadcast scheduling and distributed competition among neighboring nodess. We discuss the implementation of our scheme, and present an extensive simulation experiments to study the its performance. Our experimental results indicate clearly that our EAD scheme outperforms previous schemes.

Journal ArticleDOI
TL;DR: It is shown that in directed networks, for any e>0, EDP is NP-hard to approximate within m1/2-e even in undirected networks, and design simple approximation algorithms that achieve essentially matching approximation guarantees for some generalizations of EDP.

Journal ArticleDOI
01 Feb 2003
TL;DR: It is found that in FPGAs with more than 20 K four-input look-up tables, the reduction in channel width, interconnect delay and power dissipation can be over 50% by 3-D implementation.
Abstract: In this paper, analytical models for predicting interconnect requirements in field-programmable gate arrays (FPGAs) are presented, and opportunities for three-dimensional (3-D) implementation of FPGAs are examined. The analytical models for two-dimensional FPGAs are calibrated by routing and placement experiments with benchmark circuits and extended to 3-D FPGAs. Based on system-level modeling, we find that in FPGAs with more than 20 K four-input look-up tables, the reduction in channel width, interconnect delay and power dissipation can be over 50% by 3-D implementation.

Proceedings ArticleDOI
08 Jun 2003
TL;DR: An experimental evaluation of Hermes, an event-based middleware architecture that uses a peer-to-peer routing substrate, in comparison with a standard publish/subscribe system that has a simple, predefined overlay topology, reveals that Hermes has better routing efficiency and keeps less routing state at the event brokers.
Abstract: Overlay broker networks are an important part of an event-based middleware. In this paper, we investigate the requirements of overlay broker networks and argue that using peer-to-peer techniques for their creation and the content-based routing of events has many advantages. We support our claims with an experimental evaluation of Hermes, an event-based middleware architecture that uses a peer-to-peer routing substrate, in comparison with a standard publish/subscribe system that has a simple, predefined overlay topology. The results reveal that Hermes has better routing efficiency and keeps less routing state at the event brokers.

Journal ArticleDOI
TL;DR: An integer linear programming model is developed and an efficient heuristic algorithm called balanced path routing with heavy-traffic first waveband assignment (BPHT) is developed, which shows that WBS using BPHT is even more beneficial in multifiber networks than in single-fiber networks in terms of reducing the port count.
Abstract: Waveband switching (WBS) has attracted attention from the optical networking industry for its practical importance in reducing port count, the associated control complexity, and cost of optical cross-connects (OXCs). However, WBS-related problems of theoretical interest have not been addressed thoroughly by the research community and many issues are still wide open. In particular, WBS is different from wavelength routing and, thus, techniques developed for wavelength-routed networks (including for example, those for traffic grooming) cannot be directly applied to effectively address WBS-related problems. In this paper, we first develop an integer linear programming (ILP) model, which for a given set of lightpath requests, determines the routes and assigns wavelengths to the lightpaths so as to minimize the number of ports needed. Since the optimal WBS problem of minimizing the port count in WBS networks contains an instance of routing and wavelength assignment (RWA), which is NP-complete, we adopt a powerful waveband assignment strategy and develop an efficient heuristic algorithm called balanced path routing with heavy-traffic first waveband assignment (BPHT). Both the ILP and the heuristic algorithm can handle the case with multiple fibers per link. We conduct a comprehensive evaluation of the benefits of WBS through detailed analysis and simulations. For small networks, our results indicate that the performance of the BPHT heuristic is close to that achievable by using the ILP model and, hence verifying its near-optimality. We show that for larger networks, BPHT can perform better than its variation called balanced traffic routing with maximum-hop first waveband assignment and much better than another heuristic based on optimal (but waveband oblivious) RWA that minimizes wavelength resources. We also show that WBS using BPHT is even more beneficial in multifiber networks than in single-fiber networks in terms of reducing the port count. Our analytical and simulation results provide valuable insights into the effect of wavelength band granularity, as well as the tradeoffs between the wavelength-hop and the port count required in WBS networks.

Journal ArticleDOI
TL;DR: A new model showing how genetic algorithms can be manipulated to help optimize bus transit routing design, incorporating unique service frequency settings for each route is proposed and shown to be more efficient than the binary-coded genetic algorithm benchmark, in which problem content cannot be utilized.
Abstract: In this paper we propose a new model showing how genetic algorithms can be manipulated to help optimize bus transit routing design, incorporating unique service frequency settings for each route. The main lesson is in the power that can be given to heuristic methods if problem content is exploited appropriately. In this example, seven proposed genetic operators are designed for this specific problem to facilitate the search within a reasonable amount of time. In addition, headway coordination is applied by the ranking of transfer demands at the transfer terminals. The model is applied on a benchmark network to test its efficiency, and performance results are presented. It is shown that the proposed model is more efficient than the binary-coded genetic algorithm benchmark, in which problem content cannot be utilized.

Patent
04 Apr 2003
TL;DR: In this article, a system and method for application location register routing at a signal transfer point (26) is described, which includes processor clusters (64, 66, 68) and databases (236, 238) for processing queries including global title translation and local number portability.
Abstract: A system and method for application location register routing at a signal transfer point (26) are provided. The signal transfer point (26) includes processor clusters (64, 66, 68) and databases (236, 238) for processing queries including global title translation, local number portability, and application location register routing. A query message is received by the signal transfer point (26), a first database residing in the signal transfer point (26) is accessed to determine the location of a second database (238) also residing in the signal transfer point for processing the query message. The second database (238) is then accessed to obtain the network address of a home location register or short message service center, which is then used to deliver the query message to the network node specified by the network address.