scispace - formally typeset
Search or ask a question

Showing papers on "Silicon carbide published in 2008"


BookDOI
05 Sep 2008
TL;DR: In this article, the fundamental physics of power semiconductor devices are discussed and an analytical model for explaining the operation of all power Semiconductor devices is presented, focusing on silicon devices.
Abstract: Fundamentals of Power Semiconductor Devices provides an in-depth treatment of the physics of operation of power semiconductor devices that are commonly used by the power electronics industry. Analytical models for explaining the operation of all power semiconductor devices are shown. The treatment focuses on silicon devicesandincludes the unique attributes and design requirements for emerging silicon carbide devices.

1,730 citations


Journal ArticleDOI
TL;DR: In this article, a vapour deposited molybdenum coating on SiC powders has been used to improve the bonding strength and thermo-physical properties of the composites, using atomized Cu(X) alloys with minor additions of carbide formers.
Abstract: Thermal aspects are becoming increasingly important for the reliability of the electronic components due to the continuous progress of the electronic industries. Therefore, the effective thermal management is a key issue for packaging of high performance semiconductors. The ideal material working as heat sink and heat spreader should have a CTE of (4–8) × 10−6 K−1 and a high thermal conductivity. Metal matrix composites offer the possibility to tailor the properties of a metal by adding an appropriate reinforcement phase and to meet the demands in thermal management. Copper/SiC and copper/diamond composites have been produced by powder metallurgy. The major challenge in development of Cu/SiC is the control of the interfacial interactions. Silicon carbide is not stable in copper at the temperature needed for the fabrication of Cu/SiC. It is known that the bonding between diamond and copper is very weak in the Cu/diamond composite. Improvements in bonding strength and thermo-physical properties of the composites have been achieved by • a vapour deposited molybdenum coating on SiC powders to control interface reactions, • using atomized Cu(X) alloys with minor additions of carbide formers, e.g. X = Cr, B, to improve the interfacial bonding in Cu-diamond composites.

330 citations


Journal ArticleDOI
TL;DR: In this paper, the Raman spectra of epitaxial graphene layers grown on 63×63 reconstructed silicon carbide surfaces during annealing at elevated temperature are presented, and a significant phonon hardening is observed.
Abstract: We present Raman spectra of epitaxial graphene layers grown on 63×63 reconstructed silicon carbide surfaces during annealing at elevated temperature. In contrast to exfoliated graphene a significant phonon hardening is observed. We ascribe that phonon hardening to a minor part to the known electron transfer from the substrate to the epitaxial layer, and mainly to mechanical strain that builds up when the sample is cooled down after annealing. Due to the larger thermal expansion coefficient of silicon carbide compared to the in-plane expansion coefficient of graphite this strain is compressive at room temperature.

328 citations


Journal ArticleDOI
TL;DR: In this paper, a comprehensive review of the properties of epitaxial 4H silicon carbide polytype (4H-SiC) is presented, with particular emphasis on those aspects of this material related to room, high-temperature and harsh environment ionizing radiation detector operation.
Abstract: We present a comprehensive review of the properties of the epitaxial 4H silicon carbide polytype (4H–SiC). Particular emphasis is placed on those aspects of this material related to room, high-temperature and harsh environment ionizing radiation detector operation. A review of the characterization methods and electrical contacting issues and how these are related to detector performance is presented. The most recent data on charge transport parameters across the Schottky barrier and how these are related to radiation spectrometer performance are presented. Experimental results on pixel detectors having equivalent noise energies of 144 eV FWHM (7.8 electrons rms) and 196 eV FWHM at +27 °C and +100 °C, respectively, are reported. Results of studying the radiation resistance of 4H–SiC are analysed. The data on the ionization energies, capture cross section, deep-level centre concentrations and their plausible structures formed in SiC as a result of irradiation with various particles are reviewed. The emphasis is placed on the study of the 1 MeV neutron irradiation, since these thermal particles seem to play the main role in the detector degradation. An accurate electrical characterization of the induced deep-level centres by means of PICTS technique has allowed one to identify which play the main role in the detector degradation.

247 citations


Journal ArticleDOI
TL;DR: In this paper, a review of state-of-the-art information about the structural aspects of silicon carbide, silica, and SiC-SiO 2 interfaces is presented.
Abstract: Silicon carbide (SiC) as both the most important non-oxide ceramic and promising semiconductor material grows stoichiometric SiO 2 as its native oxide. During passive oxidation, a surface transformation of SiC into silica takes place causing bulk volume and bulk mass increase. This review summarizes state-of-the-art information about the structural aspects of silicon carbide, silica, and SiC–SiO 2 interfaces and discusses physicochemical properties and kinetics of the processes involved. A special section describes the electronic properties of carbide–oxide interfaces, which are inferior compared to Si–SiO 2 interfaces, limiting the use of SiC-based electronics. In the oxidation of SiC there is a variety of parameters (e.g., porosity, presence of sintering aids, impurities, crystallographic orientation, surface treatment, and atmospheric composition) influencing the process. Therefore, the kinetics can be complex and will be discussed in detail. Nonetheless, a general linear-parabolic time-law can be foun...

179 citations


Journal ArticleDOI
TL;DR: In this article, a finite element model was used to estimate the temperatures and stresses in both ceramics during quench testing, and the model predicted that maximum thermal stresses during the experimental quench test exceeded the strength of ZrB2 (568 MPa) but not Zb2-30 vol.% SiC (863 MPa).

172 citations


Proceedings ArticleDOI
28 May 2008
TL;DR: In this article, the thermal performance of thermal interface materials and phase change materials and thermoplastics was investigated in the context of automotive power electronics cooling, and the results indicated that the thermal resistance of the TIM layer has a dramatic effect on the maximum temperature in the IGBT package.
Abstract: In a typical power electronics package, a grease layer forms the interface between the direct bond copper (DBC) layer or a baseplate and the heat sink. This grease layer has the highest thermal resistance of any layer in the package. Reducing the thermal resistance of this thermal interface material (TIM) can help achieve the FreedomCAR program goals of using a glycol water mixture at 105degC or even air cooling. It is desirable to keep the maximum temperature of the conventional silicon die below 125degC, trench insulated gate bipolar transistors (IGBTs) below 150degC, and silicon carbide-based devices below 200degC. Using improved thermal interface materials enables the realization of these goals and the dissipation of high heat fluxes. The ability to dissipate high heat fluxes in turn enables a reduction in die size, cost, weight, and volume. This paper describes our progress in characterizing the thermal performance of some conventional and novel thermal interface materials. We acquired, modified, and improved an apparatus based on the ASTM D5470 test method and measured the thermal resistance of various conventional greases. We also measured the performance of select phase-change materials and thermoplastics through the ASTM steady-state and the transient laser flash approaches, and compared the two methodologies. These experimental results for thermal resistance are cast in the context of automotive power electronics cooling. Results from numerical finite element modeling indicate that the thermal resistance of the TIM layer has a dramatic effect on the maximum temperature in the IGBT package.

163 citations


Journal ArticleDOI
TL;DR: In this paper, the nanoindentation Young's modulus and fracture toughness of the HfB 2 -based materials were higher than those of the hafnium carbide-based materials.
Abstract: Hafnium diboride (HfB 2 )- and hafnium carbide (HfC)-based materials containing MoSi 2 as sintering aid in the volumetric range 1%-9% were densified by spark plasma sintering at temperatures between 1750° and 1950°C. Fully dense samples were obtained with an initial MoSi 2 content of 3 and 9 vol% at 1750°-1800°C. When the doping level was reduced, it was necessary to raise the sintering temperature in order to obtain samples with densities higher than 97%. Undoped powders had to be sintered at 2100°-2200°C. For doped materials, fine microstructures were obtained when the thermal treatment was lower than 1850°C. Silicon carbide formation was observed in both carbide-and boride-based materials. Nanoindentation hardness values were in the range of 25-28 GPa and were independent of the starting composition. The nanoindentation Young's modulus and the fracture toughness of the HfB 2 -based materials were higher than those of the HfC-based materials. The flexural strength of the HfB 2 -based material with 9 vol% of MoSi 2 was higher at 1500°C than at room temperature.

155 citations


Journal ArticleDOI
TL;DR: In this paper, historical perspectives on grinding of silicon wafers, impacts of wafer size progression on applications of grinding in silicon wafer manufacturing, and interrelationships between grinding and two other silicon machining processes (slicing and polishing) are discussed.
Abstract: The majority of semiconductor devices are built on silicon wafers. Manufacturing of high-quality silicon wafers involves several machining processes including grinding. This review paper discusses historical perspectives on grinding of silicon wafers, impacts of wafer size progression on applications of grinding in silicon wafer manufacturing, and interrelationships between grinding and two other silicon machining processes (slicing and polishing). It is intended to help readers to gain a more comprehensive view on grinding of silicon wafers, and to be instrumental for research and development in grinding of wafers made from other materials (such as gallium arsenide, germanium, lithium niobate, sapphire, and silicon carbide).

148 citations


Journal ArticleDOI
TL;DR: In this paper, a novel recycling process for obtaining silicon from the kerf loss powders is reported, and the obtained silicon after directional solidification was found to be of solar grade.

148 citations


Patent
20 May 2008
TL;DR: In this article, a manufacturing method for a silicon carbide semiconductor device is described, which includes an etching method in which an Al film and Ni film are laid on an SiC wafer in this order and wet-etched, whereby a two-layer etching mask is formed in which Ni film portions overhang Al film portions.
Abstract: A manufacturing method for a silicon carbide semiconductor device is disclosed. It includes an etching method in which an Al film and Ni film are laid on an SiC wafer in this order and wet-etched, whereby a two-layer etching mask is formed in which Ni film portions overhang Al film portions. Mesa grooves are formed by dry etching by using this etching mask.

Journal ArticleDOI
TL;DR: In this paper, thin films of Si nanocrystals (Si NCs) embedded in a silicon carbide matrix (Si-NC:SiC) were prepared by alternating deposition of Si-rich silicon carbides and near-stoichiometric SiC mutilayers using magnetron cosputtering followed by a post-deposition anneal.

Patent
30 Jul 2008
TL;DR: In this paper, a susceptor is provided with a mesh-like groove on a placing surface whereupon a silicon substrate (W) is to be placed, and a silicon carbide film (H) is formed.
Abstract: Provided is a susceptor (13) for manufacturing an epitaxial wafer. The susceptor is provided with a mesh-like groove (13b) on a placing surface whereupon a silicon substrate (W) is to be placed. On the placing surface, a silicon carbide film (H) is formed. The film (H) has a surface roughness of 1μm or more in center line average roughness (Ra), and a protrusion (13p) generated at the time of applying the film (H) has a maximum height of 5μm or less. Thus, not only attaching of the silicon substrate with the susceptor but also generation of defects such as warping and slip are eliminated.

Journal ArticleDOI
TL;DR: In this paper, current conduction mechanisms of an atomic layer-deposited HfO2 gate stacked on different thicknesses of thermally nitrided SiO2 based on n-type 4H SiC have been investigated and analyzed.
Abstract: In this paper, current conduction mechanisms of an atomic-layer-deposited HfO2 gate stacked on different thicknesses of thermally nitrided SiO2 based on n-type 4H SiC have been investigated and analyzed. Current-voltage and high-frequency capacitance-voltage measurements conducted at various temperatures (25−140 °C) were performed in metal-oxide-semiconductor test structures with 13 nm thick HfO2 stacked on 0-, 2-, 4-, or 6 nm thick nitrided SiO2. Various conduction mechanisms, such as Schottky emission, Fowler-Nordheim tunneling, Poole-Frenkel emission, and space-charge-limited conduction, have been systematically evaluated. The mechanisms of the current conducted through the oxides were affected by the thickness of the nitrided oxide and the electric field applied. Finally, current conduction mechanisms that contributed to hard and soft dielectric breakdown have been proposed.

Journal ArticleDOI
TL;DR: Six diverse types of chemical transformations were performed in the presence or absence of a SiC heating element at the same reaction temperature but at different microwave power levels, and the measured conversions/yields were similar regardless of whether a heating element was used or not.
Abstract: The use of passive heating elements made out of chemically inert sintered silicon carbide (SiC) allows microwave transparent or poorly absorbing reaction mixtures to be heated under microwave conditions. The cylindrical heating inserts efficiently absorb microwave energy and subsequently transfer the generated thermal energy via conduction phenomena to the reaction mixture. In the case of low to medium microwave absorbing reaction mixtures, the addition of SiC heating elements results in significant reductions (30-70%) in the required microwave power as compared to experiments performed without heating element at the same temperature. The method has been used to probe the influence of microwave power (electromagnetic field strength) on chemical reactions. Six diverse types of chemical transformations were performed in the presence or absence of a SiC heating element at the same reaction temperature but at different microwave power levels. In all six cases, the measured conversions/yields were similar regardless of whether a heating element was used or not. The applied microwave power had no influence on the reaction rate, and only the attained temperature governed the outcome of a specific chemical process under microwave conditions.

Journal ArticleDOI
TL;DR: In this paper, a silicon carbide reinforced polyurethane nanocomposites were fabricated by a facile surface-initiated-polymerization (SIP) method.

Journal ArticleDOI
TL;DR: In this article, ZrB 2, SiC and carbon nanotubes (CNTs) were fabricated by hot-pressing at 1900°C for 1-h under 30MPa.
Abstract: ZrB 2 –20 vol%SiC ceramics without and with additive of 2 wt% carbon nanotubes (CNTs) were fabricated by hot-pressing at 1900 °C for 1 h under 30 MPa using ZrB 2 , SiC and CNTs as starting materials. The fracture toughness increased about 15%, but hardness, flexural strength as well as thermal conductivity did not vary significantly from the addition of CNTs.

Journal ArticleDOI
TL;DR: In this paper, the effects of such variables as temperature, environment, and stress on carbon fiber-reinforced silicon carbide (C/SiC) composites were investigated.
Abstract: Carbon fiber-reinforced silicon carbide (C/SiC) composites have the potential to be utilized in many high-temperature structural applications, particularly in aerospace. However, the susceptibility of the carbon fibers to oxidation has hindered the composite's use in long-term reusable applications. In order to identify the composites limitations, fundamental oxidation studies were conducted to determine the effects of such variables as temperature, environment, and stress. The systematic studies first looked at the oxidation of the plain, uncoated carbon fiber, then when fiber was utilized within a C/SiC composite, and finally when a stress was applied to the C/SiC composite (stressed oxidation). The first study, oxidation of just the carbon fibers, showed that the fiber oxidation kinetics occurs in two primary regimes: chemical reaction control and diffusion control. The second study, oxidation of the C/SiC composite, showed the self-protecting effects from the SiC matrix at elevated temperatures when the composite was not stressed. The final study, stressed oxidation of the C/SiC composite, more closely simulated application conditions in which the material is expected to encounter thermal and mechanical stresses. The applied load and temperature will affect the openings of the as-fabricated cracks, which are an unavoidable characteristic of C/SiC composites. The main objective of the paper was to determine the oxidation kinetic regimes for the oxidation of carbon fibers in a cracked silicon carbide matrix under stressed and unstressed conditions. The studies help to provide insights in to the protective approaches, that could be used to prevent oxidation of the fibers within the composite.

Patent
29 Feb 2008
TL;DR: In this article, the authors defined a set of structures for semiconductor wafers having a thin layer of strained semiconductor material, including a substrate, an oxide layer upon the substrate, a silicon carbide layer upon oxide layer, and an insulating or semi-insulating layer upon a strained layer in a thickness that retains the strained state of the strained layer.
Abstract: Semiconductor wafers having a thin layer of strained semiconductor material. These structures include a substrate; an oxide layer upon the substrate; a silicon carbide (SiC) layer upon the oxide layer, and a strained layer of a semiconductor material in a strained state upon the silicon carbide layer, or a matching layer upon the donor substrate that is made from a material that induces strain in subsequent epitaxially grown layers thereon; a strained layer of a semiconductor material of defined thickness in a strained state; and an insulating or semi-insulating layer upon the strained layer in a thickness that retains the strained state of the strained layer. The insulating or semi-insulating layers are made of silicon carbide or oxides and act to retain strain in the strained layer.

Journal ArticleDOI
TL;DR: In this article, an overview of MEMS and NEMS technologies that incorporate SiC as a key component in their mechanical structure, providing both a historical perspective as well as recent developments.
Abstract: Silicon carbide (SiC) is recognized as the leading semiconductor for high power and high temperature electronics owing to its outstanding electrical properties combined with mature processing technologies for monolithic structures. SiC has long been known for its outstanding mechanical and chemical properties making it equally attractive for mechanical structures in micro- and nanoelectromechanical systems (MEMS and NEMS). Recent advancements in bulk and surface micromachining have led to the development of SiC analogues to common Si-based devices (i.e., resonators, pressure sensors). This paper presents an overview of MEMS and NEMS technologies that incorporate SiC as a key component in their mechanical structure, providing both a historical perspective as well as recent developments. (© 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)

Journal ArticleDOI
TL;DR: In this article, thermal residual stresses in two SiC-ceramic matrix composites reinforced with carbon fiber (C/SiC) and silicon carbide fiber (SiC and SiC) were completely investigated.

Journal ArticleDOI
TL;DR: In this article, a low-cost, miniature, mass-producible sensor for measurement of high pressure at operating temperatures of 300-600°C is presented, which is used for in-cylinder engine pressure monitoring applications.
Abstract: This paper reports a research prototype of a low-cost, miniature, mass-producible sensor for measurement of high-pressure at operating temperatures of 300–600 °C, e.g., in-cylinder engine pressure monitoring applications. This all-silicon carbide (SiC) capacitive sensor, i.e., a SiC diaphragm on a SiC substrate, takes advantage of the excellent harsh-environment material properties of SiC and is fabricated by surface micromachining. The sensor is packaged in a high-temperature ceramic package and characterized under static pressures of up to ∼5 MPa (700 psi) and temperatures of up to 574 °C in a custom chamber. An instrumentation amplifier integrated circuit is used to convert capacitance into voltage for measurements up to 300 °C; beyond 300 °C, the capacitance is measured directly from an array of identical sensor elements using a LCZ meter. After high-temperature soaking and several tens of temperature/pressure cycles, packaged sensors continue to show stable operation. For monitoring the dynamic cylinder pressure in the combustion chamber, the sensor is packaged in a custom probe and inserted into the cylinder head of a research internal combustion engine. The sensor efficacy is verified against the reference probe used for monitoring pressure in the research engine.

Journal ArticleDOI
TL;DR: In this paper, a new bonding technique utilizing nano-scaled particles for use in high-temperature environments was investigated, and the results revealed that the method could be used to form bonds by simultaneously applying heat and pressure.
Abstract: We investigated a new bonding technique utilizing nano-scaled particles for use in high-temperature environments. The results of our investigations revealed that the method could be used to form bonds by simultaneously applying heat and pressure. Moreover, compared to a conventional Pb–5Sn-solder bond, a nanoparticle-based bond suffered no degradation in bonding strength over an elevated-temperature holding period of 1000 h at 250 °C, and its discharge characteristics were improved (i.e., increased) threefold. It is possible to extend this bonding technique to mounting components in devices that operate in high-temperature environments, e.g., it can be used to mount components such as silicon carbide (SiC) devices, which are expected to be applied in environments with temperatures exceeding 250 °C.


Journal ArticleDOI
TL;DR: In this article, carbon-carbon, carbon-silicon carbide, and silicon carbide and silicon carbonide -silicon carbonide composites were vacuum brazed to Ti and Hastealloy X using Ni-base metallic glass braze foils (MBF-20 and MBF-30).
Abstract: Carbon–carbon, carbon–silicon carbide, and silicon carbide–silicon carbide composites were vacuum brazed to Ti and Hastealloy X using Ni-base metallic glass braze foils (MBF-20 and MBF-30). Scanning electron microscopy (SEM) and energy-dispersive X-ray spectroscopy (EDS) of the joints showed that compositional changes due to substrate dissolution led to secondary-phase precipitation which aided interfacial bonding although inter-laminar shear failure occurred within some composites. Residual thermal stresses in the joint led to hardness gradients; however, stress accommodation by the brazes prevented interfacial cracking. The peak Knoop microhardness in the joints was as high as 1165–1294 KHN.

Journal ArticleDOI
TL;DR: In this article, a new method of solid-state epitaxy of silicon carbide (SiC) on silicon (Si) is proposed theoretically and realized experimentally, and a model is proposed for relaxation of elastic stresses in a film favored by vacancies and pores in the substrate.
Abstract: A new method of solid-state epitaxy of silicon carbide (SiC) on silicon (Si) is proposed theoretically and realized experimentally. Films of various polytypes of SiC on Si(111) grow through a chemical reaction (at T = 1100–1400°C) between single-crystal silicon and gaseous carbon oxide CO (at p = 10–300 Pa). Some silicon atoms transform into gaseous silicon oxide SiO and escape from the system, which brings about the formation of vacancies and pores in the silicon near the interface between the silicon and the silicon carbide. These pores provide significant relaxation of the elastic stresses caused by the lattice misfit between Si and SiC. X-ray diffraction, electron diffraction, and electron microscopy studies and luminescence analysis showed that the silicon carbide layers are epitaxial, homogeneous over the thickness, and can contain various polytypes and a mixture of them, depending on the growth conditions. The typical pore size is 1 to 5 μm at film thicknesses of ∼20 to 100 nm. Thermodynamic nucleation theory is generalized to the case where a chemical reaction occurs. Kinetic and thermodynamic theories of this growth mechanism are constructed, and the time dependences of the number of new-phase nuclei, the concentrations of chemical components, and the film thickness are calculated. A model is proposed for relaxation of elastic stresses in a film favored by vacancies and pores in the substrate.

Journal ArticleDOI
TL;DR: In this paper, a simple metal-film element is fabricated on the SiC sample in order to focus the surface waves, and Pseudoheterodyne scanning near-field infrared microscopy is used to obtain amplitude and phase maps of the local fields verifying the enhanced amplitude in the focus.
Abstract: Surface phonon polaritons (SPs) on crystal substrates have applications in microscopy, biosensing, and photonics Here, we demonstrate focusing of SPs on a silicon carbide (SiC) crystal A simple metal-film element is fabricated on the SiC sample in order to focus the surface waves Pseudoheterodyne scanning near-field infrared microscopy is used to obtain amplitude and phase maps of the local fields verifying the enhanced amplitude in the focus Simulations of this system are presented, based on a modified Huygens’ principle, which show good agreement with the experimental results

Journal ArticleDOI
TL;DR: In this paper, the authors highlight the needs which have driven research into SiC based field effect hydrogen and hydrocarbon sensors, illustrate the various structures being investigated, and describe the device evolution and current status.
Abstract: The growing need for reliable, efficient, high temperature hydrogen and hydrocarbon monitoring has fueled research into novel structures for gas sensing. Metal oxide semiconductor (MOS) devices employing a catalytic metal layer have emerged as one of the leading sensing platforms for such applications, owing to their high sensitivity and inherent capability for signal amplification. The limited operating temperature of such devices employing silicon as the semiconductor has led research efforts to focus on replacing them with devices based on silicon carbide (SiC). More recently, MOS devices having different oxide layers exhibiting improved sensing performance have emerged. Considering the amount of research that has been carried out in this area in recent times, it is important to elucidate the new findings and the gas interaction mechanisms that have been ascribed to such devices, and bring together several theories proposed by different research groups. In this paper we first highlight the needs which have driven research into SiC based field effect hydrogen and hydrocarbon sensors, illustrate the various structures being investigated, and describe the device evolution and current status. We provide several sensing examples of devices that make use of different oxide layers and demonstrate how their electrical properties change in the presence of the gases, as well as presenting the hydrogen gas interaction mechanisms of these sensors.

Journal ArticleDOI
TL;DR: In this article, a dynamic model of SiC particle precipitation in molten silicon based on the Si-C phase diagram was established to clarify the characteristics of carbon segregation and particle formation in a directional solidification process.

Journal ArticleDOI
TL;DR: In this paper, the structural characteristics of carbon/silicon carbide (C/SiC) aircraft brake materials were studied by means of optical microscopy, SEM, XRD and TEM, and their frictional properties were investigated using a full-size aircraft wheel and brake assembly on a fullscale dynamometer.