scispace - formally typeset
Search or ask a question

Showing papers on "Silicon published in 1980"



Journal ArticleDOI
TL;DR: In this article, the authors search, compile, and analyze refractive index data for silicon and germanium and generate recommended values for the transparent spectral region were generated in the ranges 1.2 to 14 μm and 100-740 K for silicon, and 1.9 to 16 µm and100-550 K for germanIUM.
Abstract: Refractive index data for silicon and germanium were searched, compiled, and analyzed. Recommended values of refractive index for the transparent spectral region were generated in the ranges 1.2 to 14 μm and 100–740 K for silicon, and 1.9 to 16 μm and 100–550 K for germanium. Generation of these values was based on a dispersion equation which best fits selected data sets covering wide temperature and wavelength ranges. Temperature derivative of refractive index was simply calculated from the first derivative of the equation with respect to temperature. The results are in concordance with the existing dn/dT data.

661 citations


Journal ArticleDOI
TL;DR: In this paper, an extensive set of experimental results on the behavior of electron surface mobility in thermally oxidized silicon structures are presented, which allow the calculation of electron mobility under a wide variety of substrate, process, and electrical conditions.
Abstract: Accurate modeling of MOS devices requires quantitative knowledge of carrier mobilities in surface inversion and accumulation layers. Optimization of device structures and accurate circuit simulation, particulary as technologies push toward fundamental limits, necessitate an understanding of how impurity doping levels, oxide charge densities, process techniques, and applied electric fields affect carrier surface mobilities. It is the purpose of this paper to present an extensive set experimental results on the behavior of electron surface mobility in thermally oxidized silicon structures. Empirical equations are developed which allow the calculation of electron mobility under a wide variety of substrate, process, and electrical conditions. The experimental results are interpreted in terms of the dominant physical mechanisms responsible for mobility degradation at the Si/SiO 2 interface. From the observed effects of process parameters on mobility roll-off under high vertical fields, conclusions are drawn about optimum process conditions for maximizing mobility. The implications of this work for performance limits of several types of MOS devices are described.

610 citations


Journal ArticleDOI
TL;DR: In this article, the authors show that long exposure to light decreases the photoconductivity and dark conductivity of some samples of hydrogenated amorphous silicon (a•Si':'H). Annealing above ∼150°C reverses the process.
Abstract: Long exposure to light decreases the photoconductivity and dark conductivity of some samples of hydrogenated amorphous silicon (a‐Si : H). Annealing above ∼150 °C reverses the process. The effect occurs in the bulk of the films, and is associated with changes in density or occupation of deep gap states. High concentrations of P, B, or As quench the effect. Possible models involving hydrogen bond reorientation at a localized defect or electron‐charge transfer between defects are discussed. An example is shown where these conductivity changes do not affect the efficiency of an a‐Si : H solar cell.

573 citations



Journal ArticleDOI
TL;DR: In this article, the effects of various metallic impurities, both singly and in combinations, on the performance of silicon solar cells have been studied and an analytic model was developed which predicts cell performance as a function of the secondary impurity concentrations.
Abstract: The effects of various metallic impurities, both singly and in combinations, on the performance of silicon solar cells have been studied. Czochralski crystals were grown with controlled additions of secondary impurities. The primary dopants were boron and phosphorus while the secondaires were: A1, B, C, Ca, Co, Cr, Cu, Fe, Mg, Mn, Mo, Nb, P, Pd, Ta, Ti, V, W, Zn, and Zr. Impurity concentrations ranged from 1010to 1017/cm3. Solar cells were made using a conventional diffusion process and were characterized by computer reduction of I-V data. The collected data indicated that impurity-induced performance loss was primarily due to reduction of the base diffusion length. Based on this observation, an analytic model was developed which predicts cell performance as a function of the secondary impurity concentrations. The calculated performance parameters are in good agreement with measured values except for Cu, Ni, and Fe, which at higher concentrations, degrade the cell substantially by means of junction mechanisms. This behavior can be distinguished from base diffusion length effects by careful analysis of the I-V data. The effects of impurities in n-base and p-base devices differ in degree but submit to the same modeling analysis. A comparison of calculated and measured performance for multiple impurities indicates a limited interaction between impurities, e.g., copper appears to improve titanium-doped cells.

404 citations



Journal ArticleDOI
TL;DR: In this paper, the dopant segregation at grain boundaries in polycrystalline silicon has been investigated, and a theory of segregation in systems of small particles has been developed, using this theory, the heat of segregation of arsenic and phosphorus, and the number of active dopant atoms within the grain boundaries as a function of annealing temperature.
Abstract: Dopant segregation at grain boundaries in polycrystalline silicon has been investigated. Arsenic, phosphorus, and boron were ion implanted into low‐pressure, chemically‐vapor‐deposited polycrystalline‐silicon films. All films were then annealed at 1000 °C for 1 h, and some were subsequently further annealed at 800, 850, or 900 °C for 64, 24, or 12 h, respectively. For phosphorus and arsenic the room‐temperature resistivity of the films was found to be higher after annealing at lower temperatures. By successively annealing the same sample at lower and higher temperatures, the resistivity would repeatedly increase and decrease, indicating reversible dopant segregation at the grain boundaries. Hall measurements were used to estimate the number of active dopant atoms within the grains and the number of atoms segregated at the grain boundaries as a function of annealing temperature. A theory of segregation in systems of small particles has been developed. Using this theory, the heat of segregation of arsenic and phosphorus in polycrystalline silicon was calculated. For boron no appreciable segregation was observed.

347 citations


Journal ArticleDOI
TL;DR: In this article, the formation of supersaturated substitutional alloys by ion implantation and rapid liquid phase-epitaxial regrowth induced by pulsed laser annealing has been studied using Rutherford backscattering, ion channeling analysis.
Abstract: The formation of supersaturated substitutional alloys by ion implantation and rapid liquid‐phase‐epitaxial regrowth induced by pulsed laser annealing has been studied using Rutherford backscattering, ion channeling analysis. Group‐III (Ga, In) and group‐V (As, Sb, Bi) dopants have been implanted into single‐crystal silicon at doses ranging from 1×1015 to 1×1017/cm2. The samples were annealed with a Q‐switched ruby laser (energy density ∼1.5 J/cm2, pulse duration ∼15×10−9 sec). Ion channeling analysis shows that laser annealing incorporates these dopants into substitutional lattice sites at concentrations far in excess of the equilibrium solid solubility. Channeling measurements indicate the silicon crystal is essentially defect free after laser annealing. Also values for the maximum dopant concentration (Cmaxs) that can be incorporated into substitutional lattice sites are determined for our annealing conditions. Dopant profiles determined by Rutherford backscattering are compared to model calculations wh...

311 citations


Journal ArticleDOI
TL;DR: An extensive set experimental results on the behavior of electron surface mobility in thermally oxidized silicon structures are presented and empirical equations are developed which allow the calculation of electron mobility under a wide variety of substrate, process, and electrical conditions.
Abstract: Accurate modeling of MOS devices requires quantitative knowledge of carrier mobilities in surface inversion and accumulation layers. Optimization of device structures and accurate circuit simulation, particularly as technologies push toward fundamental limits, necessitate an understanding of how impurity doping levels, oxide charge densities, process techniques, and applied electric fields affect carrier surface nobilities. It is the purpose of this paper to present an extensive set of experimental results on the behavior of electron surface mobility in thermally oxidized silicon structures. EmpiricaI equations are developed which allow the calculation of electron mobility under a wide variety of substrate, process, and electrical conditions. The experimental results are interpreted in terms of the dominant physical mechanisms responsible for mobility degradation at the Si/SiO/sub 2/ interface. From the observed effects of process parameters on mobility roll-off under high vertical fields, conclusions are drawn about optimum process conditions for maximizing mobility. The implications of this work for performance limits of several types of MOS devices are described.

284 citations


Journal ArticleDOI
TL;DR: In this article, the temperature profiles induced by a cw laser beam in a semiconductor are calculated for an elliptical scanning beam and covers a wide range of experimental conditions, and the limiting case of a circular beam is also studied.
Abstract: Temperature profiles induced by a cw laser beam in a semiconductor are calculated. The calculation is done for an elliptical scanning beam and covers a wide range of experimental conditions. The limiting case of a circular beam is also studied. This calculation is developed in the particular cases of silicon and gallium arsenide, where the temperature dependence of the thermal conductivity has been taken into consideration. Using a cylindrical lens to produce an elliptical beam with an aspect ratio of 20, a 1‐mm‐wide area of an ion‐implanted silicon wafer was annealed in a single scan. The experimental data are consistent with the extrapolation of solid‐phase epitaxial regrowth rates to the calculated laser‐induced temperatures.

Journal ArticleDOI
TL;DR: In this article, a transformation of grain boundary recombination centers to a uniform distribution of such states throughout the grain was proposed, and the effective carrier lifetime was expressed in terms of grain size, allowing calculation of shortcircuit current, open-circuit voltage, and fill factor.
Abstract: Grain boundary states play a dominant role in determining the electrical and photovoltaic properties of polycrystalline silicon by acting as traps and recombination centers. The recombination loss at grain boundaries is the predominant loss mechanism in polycrystalline solar cells. Cell parameters are calculated based on a transformation of grain boundary recombination centers to a uniform distribution of such states throughout the grain. Effective carrier lifetime is expressed in terms of grain size, allowing calculation of short‐circuit current, open‐circuit voltage, and fill factor. Excellent agreement is observed between theory and experiment for almost all device parameters. It is indicated that one could fabricate 10% efficiency polycrystalline solar cells from 20‐μm‐thick material if the grain size exceeds 500 μm.

Journal ArticleDOI
TL;DR: In this paper, the energy gap in silicon and germanium is calculated as a function of the concentration of donor impurities, and the results are compared with the available data from optical experiments and devices.
Abstract: The energy gap in silicon and germanium is calculated as a function of the concentration of donor impurities. The results are compared with the available data from optical experiments and devices. Previous theories are critically reviewed.

Journal ArticleDOI
TL;DR: In this paper, Raman spectroscopy is used to determine built-in stresses in silicon on sapphire (SOS) devices, which can be applied at various temperatures.
Abstract: Raman spectroscopy is used to determine built-in stresses in silicon on sapphire (SOS) devices. The method is direct, nondestructive and can be applied at various temperatures. For epitaxial silicon films on sapphire substrates a built-in stress of 7.0±0.3 kbar at room temperature and 8.7±0.3 kbar at liquid nitrogen temperature was measured.

Journal ArticleDOI
TL;DR: In this paper, thermal and optical properties of the well-known gold-acceptor level in silicon have been investigated, and it is shown that there are at least two (and perhaps more) different types of gold acceptor centers in silicon.
Abstract: We report detailed measurements of the thermal and optical properties of the well-known gold-acceptor level in silicon. From a comparison of these properties measured in two types of silicon ${p}^{+}n$ diodes (one fabricated from epitaxially grown silicon and the other from silicon grown by the Czochrolski technique), we find that there are at least two (and perhaps more) different types of gold-acceptor centers in silicon. Measurements of the ratio of the deep-level transient spectroscopy signal due to the gold-donor level to that of the gold acceptor in the same sample show that these two levels are not related to the same gold center, as had previously been believed. A comparison with data in the literature for five other approximately midgap levels in silicon (Ag, Co, Rh, S, and process-induced levels) shows that the electron thermal-emission rates of these are all identical to that of the gold acceptor within experimental error. This suggests that these deep levels have the same underlying defect structure. Comparisons of our measured electron-capture cross sections with those reported in the literature for the gold acceptor show a heretofore unreported correlation between the magnitude of this cross section and the ratio of gold concentration to that of the shallow donor impurity. This suggests that ion pairing between gold acceptors and shallow donors plays a role in determining the capture cross section of the defect. We also have measured for the first time the capture cross sections associated with the gold-donor defect in $n$-type material and find results significantly different from those reported in the literature for $p$-type silicon. The optical cross sections of the gold acceptor show nearly a factor of 10 difference in magnitude and subtle differences in shape between epitaxial and Czochrolski samples. It is therefore possible that oxygen is also playing a role in the gold-based defect complexes. Attempts to obtain the temperature dependence of the gold-acceptor level from thermal capture and emission data proved inconclusive and led to various paradoxes which we discuss. Finally, we discuss possible models for gold-related defect complexes which are consistent with our results and might form the basis for future work.

Journal ArticleDOI
TL;DR: Reflection electron microscopy in ultra high vacuum has been performed during the process of thermal cleaning which converts the dirty (111) silicon surface of the 1 × 1 structure to the clean surface of 7 × 7 structure, and during the transformations of the 7 ×7 structure to 5 × 1, √ 3 × √3 and 6 × 6 structures produced by deposition of gold up to a few monatomic layers as discussed by the authors.

Journal ArticleDOI
Tak H. Ning1, R.D. Isaac
TL;DR: In this article, the authors compared the common-emitter current gain β of silicon n-p-n bipolar transistors with shallow (200 nm) emitters contacted by either Al, ii) Pd 2 Si + Al, or iii) n+polysilicon + Al.
Abstract: The common-emitter current gain β of silicon n-p-n bipolar transistors with shallow (200 nm) emitters contacted by either i) Al, ii) Pd 2 Si + Al, or iii) n+polysilicon + Al are compared. For the same base doping profile, β(Al) is typically about 25 percent larger than β(Pd 2 Si), while β(poly) is typically several times larger than β(Pd 2 Si). The dependence of the base current on temperature and on the thickness of the polysilicon layer indicates that the base current is not determined by the silicon-polysilicon interface properties, such as tunneling through an interfacial oxide layer, but by the transport of holes in the n+polysilicon layer. A simple two-region (n+silicon region and n+polysilicon region) model is presented which satisfactorily explains the experimental results in terms of lower hole mobility in the n+polysilicon than in the monocrystalline n+silicon.

Journal ArticleDOI
TL;DR: A general review of energy levels in silicon covering information up to about 1972 appears in the book Deep Impurities in Semiconductors by A. G. Milnes.
Abstract: A general review of energy levels in silicon covering information up to about 1972 appears in the book Deep Impurities in Semiconductors by A. G. Milnes (1973). Since that time much new information has been published and the purpose of this chapter is to review this progress. As new experimental techniques have been developed, many of the previously reported energy levels and capture cross sections have been revised, and a number of impurities have been found to exhibit more levels than previously suspected. Also, more has been learned about native defects and irradiation-produced levels in silicon. Deep impurity studies are of importance in connection with process­ induced defects that limit the yield of integrated circuits of increasing size, complexity, and resolution. Also, such defects can be harmful to the performance of large-area discrete devices such as power transistors, thyristors, and solar cells. Interest has continued in silicon extrinsic photoconductors for infrared detection with impurities such as indium, gallium, sulfur, and selenium (see, for example, Loh et al 1977). There has also been progress in the theoretical area, although in general energy levels of deep impurities in silicon still cannot be cal­ culated with any great success. The review begins with a brief look at some theoretical approaches.


Journal ArticleDOI
TL;DR: A subsequent hydrogen plasma treatment has been used to improve the transistor properties significantly by reducing the number of electrically active grain-boundary defects as discussed by the authors, and the conditions to maximize the hydrogenation effect were briefly investigated.
Abstract: Transistors have been fabricated with their active channels in thin films of polycrystalline silicon. A subsequent hydrogen plasma treatment has been used to improve the transistor properties significantly by reducing the number of electrically active grain-boundary defects. Plasma conditions to maximize the hydrogenation effect have been briefly investigated.

Journal ArticleDOI
TL;DR: In this paper, the resistivity-dopant relationship for phosphorus-doped silicon has been obtained for phosphorus densities between 1013 and 1020 cm−3 and temperatures of 296°K (23°C and 300°K).
Abstract: New data for the resistivity‐dopant density relationship for phosphorus‐doped silicon have been obtained for phosphorus densities between 1013 and 1020 cm−3 and temperatures of 296°K (23°C) and 300°K. For dopant densities less than 1018 cm−3, results were calculated from resistivity and junction capacitance‐voltage measurements on processed wafers. For more heavily doped material, data were obtained from Hall effect and resistivity measurements on specimens cut from bulk silicon slices. The results differ by 5–15% from the commonly used Irvin curve, always in the direction of lower dopant density for a given resistivity. For comparison with the electrical measurements, phosphorus densities were also obtained by neutron activation analysis and the photometric technique. The values from these methods were within 10% of the electrical results. Analytical fits were determined for the resistivity‐dopant density product as a function of resistivity and dopant density for temperatures of 23°C and 300°K. Similar fits were obtained for the calculated electron mobility as a function of resistivity and electron density.

Journal ArticleDOI
TL;DR: In this paper, the effect of bath parameters (pH, temperature, and relative concentration of reactants) and the nature of the substrate on the rate of deposition and terminal thickness has been established.
Abstract: Cadmium selenide and lead selenide films have been deposited by a solution growth technique on single crystal germanium and silicon, glass, mica, and copper substrates. The effect of bath parameters (pH, temperature, and relative concentration of reactants) and the nature of the substrate on the rate of deposition and terminal thickness has been established. The structure of the films has also been studied. Based on the experimental results, a growth model has been proposed.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the interaction between polycrystalline and monocrystalline silicon in the temperature range 400-1100 °C using sheet resistance, x-ray diffraction, and stress measurements.
Abstract: The low resistivity of the titanium disilicide makes this material attractive for gate and interconnect metallizations. TiSi2 has been formed by reacting Ti films with polycrystalline and monocrystalline silicon in the temperature range 400–1100 °C. The interaction is investigated by use of sheet resistance, x‐ray diffraction, and stress measurements. It has been found that Ti and Si react very rapidly to form both TiSi and TiSi2 at temperatures ? 700 °C and only TiSi2 at temperatures ≳ 700 °C. The TiSi2 films are associated with a very low resistivity (∼15 μΩ cm), high tensile stress [∼ (1–2) ×1010 dyn/cm2)], and a rough surface. Silicided structures are mechanically stable. It is proposed that the silicon, as the predominant diffusing species, first diffuses into titanium to completely convert titanium into TiSi and then into TiSi to form TiSi2.

Journal ArticleDOI
TL;DR: With use of bromine atoms, deposited on the surface of a silicon single crystal, the presence of x-ray standing waves above the crystal surface has been detected under conditions of strong Bragg diffraction.
Abstract: With use of bromine atoms, deposited on the surface of a silicon single crystal, the presence of x-ray standing waves above the crystal surface has been detected under conditions of strong Bragg diffraction.

Journal ArticleDOI
TL;DR: In this article, a single crystal of was grown by chemical vapor deposition using an system on a silicon substrate with a sputtered layer, and the grown layer of 4 μm thickness was confirmed as a one crystal by examination with reflection electron diffraction and x-ray diffraction.
Abstract: A single crystal of was grown by chemical vapor deposition using an system on a silicon substrate with a sputtered layer. The grown layer of 4 μm thickness was confirmed as a single crystal by examination with reflection electron diffraction and x‐ray diffraction. To reduce the large mismatch between and a silicon substrate, a sputtered layer was employed as a buffer layer. Even though the sputtered layer was polycrystalline, the subsequent layer deposited by CVD was a single crystal. The crystallinity of the deposited layer was strongly affected by the thickness of the sputtered layer, the substrate temperature during sputtering, and the temperature of chemical vapor deposition.

Patent
07 May 1980
TL;DR: In this article, a dynamic random access memory (DRAM) is proposed in which individual cells, including an access transistor and a storage capacitor, are formed in mesas formed on a silicon chip.
Abstract: A dynamic random access memory in which individual cells, including an access transistor and a storage capacitor, are formed in mesas formed on a silicon chip. The access transistor of the cell is formed on the top surface of the mesa and one plate of the storage capacitor of the cell is formed by the sidewall of the mesa and the other plate by doped polycrystalline silicon which fills the grooves surrounding the mesas isolated therefrom by a silicon dioxide layer. By this geometry, large storage surfaces, and so large capacitances, can be obtained for the capacitor without using surface area of the chip. In other embodiments, the mesas may include other forms of circuit elements.

Journal ArticleDOI
TL;DR: In this paper, the electrical activity of point defects is neutralized by reaction with atomic hydrogen at 200 ˚°C and the point defects are observed by capacitance transient spectroscopy.
Abstract: Laser melting of crystalline silicon introduces electrically active defects which are observed by capacitance transient spectroscopy. The electrical activity of these point defects is neutralized by reaction with atomic hydrogen at 200 °C.

Journal ArticleDOI
TL;DR: In this article, it was found that polycrystalline films are formed above 600°C and are more stable than the amorphous films deposited at lower temperatures, depending primarily on the deposition temperature.
Abstract: Silicon films deposited by low pressure chemical‐vapor deposition over the temperature range from 525° to 725°C were investigated. It was found that polycrystalline films are formed above 600°C and are more stable than the amorphous films deposited at lower temperatures. Their crystal structure is a strong function of the deposition temperature and a weaker function of the deposition rate. Either the {110} or the {100} texture may dominate the structure, depending primarily on the deposition temperature. The electrical resistance obtained on doping the LPCVD films that are polycrystalline as deposited is maximum for films deposited at the lower temperatures (near 600°C), although this dependence on deposition temperature decreases after annealing at higher temperatures. Dopant atoms reversibly segregate to the grain boundaries during lower temperature heat‐treatments subsequent to doping and are dispersed at higher temperatures, with corresponding changes in resistivity. The oxidation rate is only a weak function of the deposition temperature, although the initially amorphous films may oxidize somewhat more rapidly. The index of refraction of amorphous films is significantly higher than that of polycrystalline films.

Journal ArticleDOI
TL;DR: In this paper, it was shown that the infrared absorption band at 1230 cm−1, observed under certain conditions of oxygen precipitation in silicon, is the LO mode of SiO2.
Abstract: It is shown that the infrared absorption band at 1230 cm−1, observed under certain conditions of oxygen precipitation in silicon, is the LO mode of SiO2 The LO mode, which is normally infrared inactive, becomes infrared active under the condition of polarization of small (<036 μm) platelets in an appropriate dielectric matrix (silicon) Infrared absorption spectra of SiO2 particles of various shapes, imbedded in silicon, have been calculated For platelet SiO2 precipitates, the spectrum shows an absorption band at 1215 cm−1, which is reasonably close to the observed band at 1230 cm−1 For SiO2 precipitates of other shapes the spectra do not exhibit this absorption band Instead, they exhibit a slightly weaker primary absorption band at 1095 cm−1, the TO mode, and a very weak band at 1170 cm−1, which is a mixed mode of longitudinal and transverse optical phonons

Journal ArticleDOI
TL;DR: In this article, NiSi2 and CoSi2 layers have been grown on crystalline silicon by molecular beam epitaxy (MBE) and shown to be highly crystalline films can be grown by either silicon-metal codeposition or by pure metal deposition on substrates heated to 550-800°C.
Abstract: Epitaxial NiSi2 and CoSi2 layers have been grown on crystalline silicon by molecular beam epitaxy (MBE) Stoichiometric, highly crystalline films can be grown by either silicon‐metal codeposition or by pure metal deposition on substrates heated to 550–800 °C Crystalline quality is relatively insensitive to deposition conditions Film smoothness is maximized by codeposition at temperatures near 600 °C Both silicides have been epitaxially overgrown with silicon producing a fully crystalline silicon/metal silicide/silicon heterostructure