scispace - formally typeset
Search or ask a question

Showing papers on "Silicon nitride published in 2015"


Journal ArticleDOI
TL;DR: This work fabricates multilayer InSe FETs made of widely studied 2D transition metal dichalcogenides with potential for ultrathin field effect transistor (FET) with high mobility transport and studies the material's intrinsic transport behavior and the effect of dielectric substrate.
Abstract: Graphene-like two-dimensional (2D) materials not only are interesting for their exotic electronic structure and fundamental electronic transport or optical properties but also hold promises for device miniaturization down to atomic thickness. As one material belonging to this category, InSe, a III–VI semiconductor, not only is a promising candidate for optoelectronic devices but also has potential for ultrathin field effect transistor (FET) with high mobility transport. In this work, various substrates such as PMMA, bare silicon oxide, passivated silicon oxide, and silicon nitride were used to fabricate multilayer InSe FET devices. Through back gating and Hall measurement in four-probe configuration, the device’s field effect mobility and intrinsic Hall mobility were extracted at various temperatures to study the material’s intrinsic transport behavior and the effect of dielectric substrate. The sample’s field effect and Hall mobilities over the range of 20–300 K fall in the range of 0.1–2.0 × 103 cm2/(V ...

348 citations


Journal ArticleDOI
TL;DR: In this paper, the development of silicon and silicon nitride (Si3N4) integrated photonic integrated circuits for various spectroscopic sensing applications is presented, including waveguide-based absorption and Raman and surface enhanced Raman spectroscopy.
Abstract: There is a rapidly growing demand to use silicon and silicon nitride (Si3N4) integrated photonics for sensing applications, ranging from refractive index to spectroscopic sensing. By making use of advanced CMOS technology, complex miniaturized circuits can be easily realized on a large scale and at a low cost covering visible to mid-IR wavelengths. In this paper we present our recent work on the development of silicon and Si3N4-based photonic integrated circuits for various spectroscopic sensing applications. We report our findings on waveguide-based absorption, and Raman and surface enhanced Raman spectroscopy. Finally we report on-chip spectrometers and on-chip broadband light sources covering very near-IR to mid-IR wavelengths to realize fully integrated spectroscopic systems on a chip.

192 citations


Journal ArticleDOI
TL;DR: In this paper, the authors present results from multilayer silicon nitride (SiN) on silicon-on-insulator (SOI) integrated photonic platforms over the telecommunication wavelength bands near 1550 and 1310 nm.
Abstract: We review and present additional results from our work on multilayer silicon nitride (SiN) on silicon-on-insulator (SOI) integrated photonic platforms over the telecommunication wavelength bands near 1550 and 1310 nm. SiN-on-SOI platforms open the possibility for passive optical functionalities implemented in the SiN layer to be combined with active functionalities in the SOI. SiN layers can be integrated onto SOI using a front-end or back-end of line integration process flow. These photonic platforms support low-loss SiN waveguides, low-loss and low-crosstalk waveguide crossings, and low-loss interlayer transitions using adiabatic tapers. Novel ultra-broadband and efficient grating couplers as well as polarization management devices are enabled by the close coupling between the silicon and SiN layers.

183 citations


Journal ArticleDOI
TL;DR: An ALD process using bis(tert-butylamino)silane (BTBAS) and N2 plasma was developed and studied and relatively high material quality was obtained when operating at low plasma pressures or long plasma exposure times.
Abstract: Atomic layer deposition (ALD) of silicon nitride (SiNx) is deemed essential for a variety of applications in nanoelectronics, such as gate spacer layers in transistors. In this work an ALD process using bis(tert-butylamino)silane (BTBAS) and N2 plasma was developed and studied. The process exhibited a wide temperature window starting from room temperature up to 500 °C. The material properties and wet-etch rates were investigated as a function of plasma exposure time, plasma pressure, and substrate table temperature. Table temperatures of 300–500 °C yielded a high material quality and a composition close to Si3N4 was obtained at 500 °C (N/Si = 1.4 ± 0.1, mass density = 2.9 ± 0.1 g/cm3, refractive index = 1.96 ± 0.03). Low wet-etch rates of ∼1 nm/min were obtained for films deposited at table temperatures of 400 °C and higher, similar to that achieved in the literature using low-pressure chemical vapor deposition of SiNx at >700 °C. For novel applications requiring significantly lower temperatures, the temp...

171 citations


Patent
15 Sep 2015
TL;DR: In this paper, the precursors for forming silicon nitride films are described and a method for depositing silicon-nitride films comprises a multi-step plasma treatment, which is a nitrogen plasma treatment.
Abstract: Methods and precursors for forming silicon nitride films are provided. In some embodiments, silicon nitride can be deposited by atomic layer deposition (ALD), such as plasma enhanced ALD. In some embodiments, deposited silicon nitride can be treated with a plasma treatment. The plasma treatment can be a nitrogen plasma treatment. In some embodiments the silicon precursors for depositing the silicon nitride comprise an iodine ligand. The silicon nitride films may have a relatively uniform etch rate for both vertical and the horizontal portions when deposited onto three-dimensional structures such as FinFETS or other types of multiple gate FETs. In some embodiments, various silicon nitride films of the present disclosure have an etch rate of less than half the thermal oxide removal rate with diluted HF (0.5%). In some embodiments, a method for depositing silicon nitride films comprises a multi-step plasma treatment.

132 citations


Journal ArticleDOI
TL;DR: It is found that the 1/f noise magnitude is very high for graphene nanopores: typically two orders of magnitude higher than for silicon nitride pores, which significantly lowers the signal-to-noise ratio in DNA translocation experiments and suggests that mechanical fluctuations may be the underlying cause of the high 1/F noise levels in monolayer graphene nanopore devices.
Abstract: Graphene nanopores are receiving great attention due to their atomically thin membranes and intrinsic electrical properties that appear greatly beneficial for biosensing and DNA sequencing. Here, we present an extensive study of the low-frequency 1/f noise in the ionic current through graphene nanopores and compare it to noise levels in silicon nitride pore currents. We find that the 1/f noise magnitude is very high for graphene nanopores: typically two orders of magnitude higher than for silicon nitride pores. This is a drawback as it significantly lowers the signal-to-noise ratio in DNA translocation experiments. We evaluate possible explanations for these exceptionally high noise levels in graphene pores. From examining the noise for pores of different diameters and at various salt concentrations, we find that in contrast to silicon nitride pores, the 1/f noise in graphene pores does not follow Hooge's relation. In addition, from studying the dependence on the buffer pH, we show that the increased noise cannot be explained by charge fluctuations of chemical groups on the pore rim. Finally, we compare single and bilayer graphene to few-layer and multi-layer graphene and boron nitride (h-BN), and we find that the noise reduces with layer thickness for both materials, which suggests that mechanical fluctuations may be the underlying cause of the high 1/f noise levels in monolayer graphene nanopore devices.

120 citations


Journal ArticleDOI
TL;DR: The designed platform, with 200 nm thick waveguide core and 700 nm interlayer gap, is compatible for active thermal tuning and applicable to realizing compact photonic devices such as arrayed waveguide gratings (AWGs).
Abstract: We design, fabricate, and demonstrate a silicon nitride (Si(3)N(4)) multilayer platform optimized for low-loss and compact multilayer photonic integrated circuits. The designed platform, with 200 nm thick waveguide core and 700 nm interlayer gap, is compatible for active thermal tuning and applicable to realizing compact photonic devices such as arrayed waveguide gratings (AWGs). We achieve ultra-low loss vertical couplers with 0.01 dB coupling loss, multilayer crossing loss of 0.167 dB at 90° crossing angle, 50 μm bending radius, 100 × 2 μm(2) footprint, lateral misalignment tolerance up to 400 nm, and less than -52 dB interlayer crosstalk at 1550 nm wavelength. Based on the designed platform, we demonstrate a 27 × 32 × 2 multilayer star coupler.

116 citations


Journal ArticleDOI
TL;DR: In this paper, a nonlinear optical platform based on silicon-rich nitride, deposited at a low temperature of 250°C compatible with back-end CMOS processing, is presented.
Abstract: CMOS-compatible nonlinear optics platforms with negligible nonlinear losses and high nonlinearity are of great merit. Silicon, silicon nitride and Hydex glass have made significant headway in nonlinear optical signal processing, though none of these platforms possesses the highly sought after combination of high nonlinearity and negligible nonlinear losses. In this manuscript, we present a nonlinear optics platform based on silicon-rich nitride, deposited at a low temperature of 250°C compatible with back-end CMOS processing. The silicon-rich nitride is designed and engineered in composition to have a bandgap of 2.05 eV, such that the two-photon absorption edge is well below 1.55 μm. The designed and developed waveguides have a nonlinear parameter of 550 W−1/m, 500 times larger than that in silicon nitride waveguides, while at the same time not possessing two-photon and free-carrier losses. Using 500-fs pulses, we generate supercontinuum exceeding 0.6 of an octave.

114 citations


Journal ArticleDOI
19 Jun 2015-Langmuir
TL;DR: Gold nanoparticles were observed to move at a liquid/solid interface 3 orders of magnitude slower than expected for the movement in a bulk liquid by Brownian motion, enabling a direct observation of the movement and agglomeration of nanoscale objects in liquid.
Abstract: Gold nanoparticles were observed to move at a liquid/solid interface 3 orders of magnitude slower than expected for the movement in a bulk liquid by Brownian motion. The nanoscale movement was studied with scanning transmission electron microscopy (STEM) using a liquid enclosure consisting of microchips with silicon nitride windows. The experiments involved a variation of the electron dose, the coating of the nanoparticles, the surface charge of the enclosing membrane, the viscosity, and the liquid thickness. The observed slow movement was not a result of hydrodynamic hindrance near a wall but instead explained by the presence of a layer of ordered liquid exhibiting a viscosity 5 orders of magnitude larger than a bulk liquid. The increased viscosity presumably led to a dramatic slowdown of the movement. The layer was formed as a result of the surface charge of the silicon nitride windows. The exceptionally slow motion is a crucial aspect of electron microscopy of specimens in liquid, enabling a direct obs...

111 citations


Patent
24 Aug 2015
TL;DR: In this article, a plurality of plasma enhanced atomic layer deposition (PEALD) cycles are used to form silicon nitride thin films on a substrate in a reaction space under high pressure, where at least one PEALD cycle comprises contacting the substrate with a nitrogen plasma at a process pressure of 20 to 500 Torr within the reaction space.
Abstract: Methods of forming silicon nitride thin films on a substrate in a reaction space under high pressure are provided The methods can include a plurality of plasma enhanced atomic layer deposition (PEALD) cycles, where at least one PEALD deposition cycle comprises contacting the substrate with a nitrogen plasma at a process pressure of 20 Torr to 500 Torr within the reaction space In some embodiments the silicon precursor is a silyly halide, such as H 2 SiI 2 In some embodiments the processes allow for the deposition of silicon nitride films having improved properties on three dimensional structures For example, such silicon nitride films can have a ratio of wet etch rates on the top surfaces to the sidewall of about 1:1 in dilute HF

110 citations


Patent
13 Feb 2015
TL;DR: In this article, a method for forming on a substrate a doped silicon oxide film with a cap film, including: forming an arsenosilicate glass (ASG) film as an arsenic (As)-doped Silicon oxide film on the substrate, continuously treating a surface of the ASG film with the treating gas constituted by Si, N, and H without excitation.
Abstract: A method for forming on a substrate a doped silicon oxide film with a cap film, includes: forming an arsenosilicate glass (ASG) film as an arsenic (As)-doped silicon oxide film on a substrate; continuously treating a surface of the ASG film with a treating gas constituted by Si, N, and H without excitation; and continuously forming a silicon nitride (SiN) film as a cap film on the treated surface of the ASG film.

Journal ArticleDOI
TL;DR: A low-stress silicon enriched nitride platform that has potential for nonlinear and highly integrated optics and light guidance even with small bending radii is introduced and detailed simulations show the possibility for fine dispersion and nonlinear engineering.
Abstract: We correct the value for the nonlinear Kerr effect of the silicon-rich nitride waveguide presented in [Opt. Express23, 25828 (20152015)].

Journal ArticleDOI
TL;DR: In this paper, the leakage and breakdown mechanisms of the low-pressure chemical vapor deposition (LPCVD) silicon nitride thin film deposited on AlGaN/GaN heterostructures were systematically investigated.
Abstract: In this paper, we systematically investigated the leakage and breakdown mechanisms of the low-pressure chemical vapor deposition (LPCVD) silicon nitride thin film deposited on AlGaN/GaN heterostructures. The LPCVD-SiN x gate dielectric exhibits low leakage and high breakdown electric field. The dominant mechanism of the leakage current through LPCVD-SiN x gate dielectric is identified to be Poole–Frenkel emission at low electric field and Fowler–Nordheim tunneling at high electric field. Both electric-field-accelerated and temperature-accelerated time-dependent dielectric breakdown of the LPCVD-SiN x gate dielectric were also investigated.

Journal ArticleDOI
TL;DR: In this paper, a Si3N4 bioceramic formulation was exposed to thermal, chemical, and mechanical treatments in order to induce changes in surface composition and features, including grinding and polishing, etching in hydrofluoric acid solution, and heating in nitrogen or air.

Journal ArticleDOI
TL;DR: In this paper, a high-index-contrast silicon nitride arrayed waveguide grating (AWG) for very near-infrared wavelengths is reported, which is fabricated through a process compatible with a complementary metaloxide-semiconductor fabrication line and therefore suitable for mass fabrication.
Abstract: In this letter, we report a novel high-index-contrast silicon nitride arrayed waveguide grating (AWG) for very near-infrared wavelengths. This device is fabricated through a process compatible with a complementary metal–oxide–semiconductor fabrication line and is therefore suitable for mass fabrication. The large phase errors that usually accompany high-index-platform AWGs are partly mitigated through design and fabrication adaptions, in particular the implementation of a two-level etch scheme. Multiple devices are reported, among which a 0.3-mm $^{2}$ device which, after the subtraction of waveguides loss, has a −1.2 dB on-chip insertion loss at the peak of the central channel and 20-dB crosstalk for operation $\sim 900$ nm with a channel spacing of 2 nm. These AWGs pave the way for numerous large-scale on-chip applications pertaining to spectroscopy and sensing.

Journal ArticleDOI
TL;DR: In this paper, the authors give an overview on recent development of Si3N4 substrate materials for the next-generation power devices, and present an overview of the most promising substrate materials.

Journal ArticleDOI
TL;DR: In this article, a low pressure chemical vapor deposition (LPCVD) was employed as gate dielectric for GaN-based metal-insulator-semiconductor high-electron-mobility transistors.
Abstract: In this letter, silicon nitride (SiN x ) film deposited at 780 °C by low-pressure chemical vapor deposition (LPCVD) was employed as gate dielectric for GaN-based metal–insulator–semiconductor high-electron-mobility transistors. The LPCVD-SiN x exhibit improved gate dielectric performance than the plasma enhanced chemical vapor deposition-SiN x , including smaller forward and reverse gate leakage, and higher forward gate breakdown voltage.

Journal ArticleDOI
TL;DR: In this article, the XRD and Raman analysis of hot-pressed prepared composites were conducted and the microstructural SEM observations supported by element EDS analysis were also made.
Abstract: The study concerns silicon nitride based composites with an up to 10 wt% graphene nonoflakes (GNP) addition. An XRD and Raman analysis of hot-pressed prepared composites were conducted. The microstructural SEM observations supported by element EDS analysis were also made. Elastic and mechanical properties (Knoop hardness, bending strength, fracture toughness) were measured. The manufactured sinters were put to friction coefficient and wear tests. Wear scars on discs after the abrasion tests were observed by optical and SEM microscopy. Performance examinations of hot-pressed cutting tools were performed.

Journal ArticleDOI
TL;DR: Using this technique no stress-induced cracks in the Si(3)N(4) layer were observed resulting in a high yield of devices on the wafer, and propagation losses of the obtained waveguides were measured to be as low as 0.4 dB/cm at a wavelength of around 1550 nm.
Abstract: In this paper we present a novel fabrication technique for silicon nitride (Si3N4) waveguides with a thickness of up to 900 nm, which are suitable for nonlinear optical applications. The fabrication method is based on etching trenches in thermally oxidized silicon and filling the trenches with Si3N4. Using this technique no stress-induced cracks in the Si3N4 layer were observed resulting in a high yield of devices on the wafer. The propagation losses of the obtained waveguides were measured to be as low as 0.4 dB/cm at a wavelength of around 1550 nm.

Journal ArticleDOI
TL;DR: In this article, the electrical properties of chemical vapor deposited (CVD) monolayer molybdenum disulfide (MoS2) top-gated field effect transistors (FETs) on silicon nitride (Si3N4) substrates were reported.
Abstract: We report the electrical characteristics of chemical vapor deposited (CVD) monolayer molybdenum disulfide (MoS2) top-gated field-effect transistors (FETs) on silicon nitride (Si3N4) substrates. We show that Si3N4 substrates offer comparable electrical performance to thermally grown SiO2 substrates for MoS2 FETs, offering an attractive passivating substrate for transition-metal dichalcogenides (TMD) with a smooth surface morphology. Single-crystal MoS2 grains are grown via vapor transport process using solid precursors directly on low pressure CVD Si3N4, eliminating the need for transfer processes which degrade electrical performance. Monolayer top-gated MoS2 FETs with Al2O3 gate dielectric on Si3N4 achieve a room temperature mobility of 24 cm2/V s with Ion/Ioff current ratios exceeding 107. Using HfO2 as a gate dielectric, monolayer top-gated CVD MoS2 FETs on Si3N4 achieve current densities of 55 μA/μm and a transconductance of 6.12 μS/μm at Vtg of −5 V and Vds of 2 V. We observe an increase in mobility a...

Patent
Jie Liu1, Vinod R. Purayath1, Xikun Wang1, Anchuan Wang1, Nitin K. Ingle1 
28 Jan 2015
TL;DR: In this paper, a method for selectively etching tungsten from the surface of a patterned substrate is described, which electrically separate vertically arranged tungststen slabs from one another as needed.
Abstract: Methods of selectively etching tungsten from the surface of a patterned substrate are described. The methods electrically separate vertically arranged tungsten slabs from one another as needed. The vertically arranged tungsten slabs may form the walls of a trench during manufacture of a vertical flash memory cell. The tungsten etch may selectively remove tungsten relative to films such as silicon, polysilicon, silicon oxide, aluminum oxide, titanium nitride and silicon nitride. The methods include exposing electrically-shorted tungsten slabs to remotely-excited fluorine formed in a remote plasma region. Process parameters are provided which result in uniform tungsten recess within the trench. A low electron temperature is maintained in the substrate processing region to achieve high etch selectivity and uniform removal throughout the trench.

Journal ArticleDOI
TL;DR: Experimental and first-principles results together show that using an N2 plasma to generate reactive undercoordinated surface sites allows strong adsorption of the silicon precursor and, hence, is key to successful deposition of silicon nitride by ALD.
Abstract: There is an urgent need to deposit uniform, high-quality, conformal SiNx thin films at a low-temperature. Conforming to these constraints, we recently developed a plasma enhanced atomic layer deposition (ALD) process with bis(tertiary-butyl-amino)silane (BTBAS) as the silicon precursor. However, deposition of high quality SiNx thin films at reasonable growth rates occurs only when N2 plasma is used as the coreactant; strongly reduced growth rates are observed when other coreactants like NH3 plasma, or N2–H2 plasma are used. Experiments reported in this Letter reveal that NHx- or H- containing plasmas suppress film deposition by terminating reactive surface sites with H and NHx groups and inhibiting precursor adsorption. To understand the role of these surface groups on precursor adsorption, we carried out first-principles calculations of precursor adsorption on the β-Si3N4(0001) surface with different surface terminations. They show that adsorption of the precursor is strong on surfaces with undercoordina...

Journal ArticleDOI
TL;DR: In this paper, the influence of the stress and the stressing silicon nitride layer using second harmonic generation measurements in transmission is investigated, and it is shown that the enhancement of the second-order nonlinearity arises from a constructive superposition of stress-induced and interface-related effects.
Abstract: The lack of a dipolar second-order susceptibility (χ(2)) in silicon due to the centrosymmetry of its diamond lattice usually inhibits efficient second-order nonlinear optical processes in the silicon bulk. Recently, the deposition of stressed silicon nitride layers and the corresponding inhomogeneous strain in silicon lead to the demonstration of second harmonic generation and electro-optic modulation in strained silicon waveguides. However, the respective impact of the stress/strain gradient and the involved interfaces is not clear. Here, the influence of the stress and the stressing silicon nitride layer using second harmonic generation measurements in transmission is investigated. The results show that the enhancement of the second-order nonlinearity arises from a constructive superposition of stress-induced and interface-related effects. Particularly, the stress gradient in silicon breaks the symmetry of the crystal lattice, while positive fixed charges at the silicon/silicon nitride interface are responsible for a pronounced electric-field-induced-second harmonic (EFISH) contribution. These results demonstrate the impact of external factors for the creation of an effective χ(2) in materials and open new perspectives for the use of second-order nonlinear optical processes in silicon photonics.

Journal ArticleDOI
TL;DR: Intrinsic WVTR values in the range of 10(-6) g/m2/day indicate excellent barrier properties for ALD SiNx layers as thin as 10 nm, competing with that of state-of-the-art plasma-enhanced chemical vapor-deposited SiNX layers of a few hundred nanometers in thickness.
Abstract: Encapsulation of organic (opto-)electronic devices, such as organic light-emitting diodes (OLEDs), photovoltaic cells, and field-effect transistors, is required to minimize device degradation induced by moisture and oxygen ingress. SiNx moisture permeation barriers have been fabricated using a very recently developed low-temperature plasma-assisted atomic layer deposition (ALD) approach, consisting of half-reactions of the substrate with the precursor SiH2(NH(t)Bu)2 and with N2-fed plasma. The deposited films have been characterized in terms of their refractive index and chemical composition by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and Fourier-transform infrared spectroscopy (FTIR). The SiNx thin-film refractive index ranges from 1.80 to 1.90 for films deposited at 80 °C up to 200 °C, respectively, and the C, O, and H impurity levels decrease when the deposition temperature increases. The relative open porosity content of the layers has been studied by means of multisolvent ellipsometric porosimetry (EP), adopting three solvents with different kinetic diameters: water (∼0.3 nm), ethanol (∼0.4 nm), and toluene (∼0.6 nm). Irrespective of the deposition temperature, and hence the impurity content in the SiNx films, no uptake of any adsorptive has been observed, pointing to the absence of open pores larger than 0.3 nm in diameter. Instead, multilayer development has been observed, leading to type II isotherms that, according to the IUPAC classification, are characteristic of nonporous layers. The calcium test has been performed in a climate chamber at 20 °C and 50% relative humidity to determine the intrinsic water vapor transmission rate (WVTR) of SiNx barriers deposited at 120 °C. Intrinsic WVTR values in the range of 10(-6) g/m2/day indicate excellent barrier properties for ALD SiNx layers as thin as 10 nm, competing with that of state-of-the-art plasma-enhanced chemical vapor-deposited SiNx layers of a few hundred nanometers in thickness.

Journal ArticleDOI
TL;DR: In this paper, the authors measured the thermal properties of suspended membranes from 10 to 300 K for two amplitudes of internal stress (about 0.1 and 1 GPa) and for two different thicknesses (50 and 100 nm).
Abstract: We have measured the thermal properties of suspended membranes from 10 to 300 K for two amplitudes of internal stress (about 0.1 and 1 GPa) and for two different thicknesses (50 and 100 nm). The use of the original 3ω-Volklein method has allowed the extraction of both the specific heat and the thermal conductivity of each SiN membrane over a wide temperature range. The mechanical properties of the same substrates have been measured at helium temperatures using nanomechanical techniques. Our measurements show that the thermal transport in freestanding SiN membranes is not affected by the presence of internal stress. Consistently, mechanical dissipation is also unaffected even though Q's increase with increasing tensile stress. We thus demonstrate that the theory developed by Wu and Yu [J. Wu and C. C. Yu, Phys. Rev. B 84, 174109 (2011)PRBMDO1098-012110.1103/PhysRevB.84.174109] does not apply to this amorphous material in this stress range. On the other hand, our results can be viewed as a natural consequence of the "dissipation dilution" argument [Y. L. Huang and P. R. Saulson, Rev. Sci. Instrum. 69, 544 (1998)RSINAK0034-674810.1063/1.1148692], which has been introduced in the context of mechanical damping.

Journal ArticleDOI
TL;DR: In this article, the authors studied the mechanical dissipation of millimeter-sized, high quality-factor (Q) metalized silicon nitride membranes at temperatures down to 14?mK using a three-dimensional optomechanical cavity.
Abstract: We study the mechanical dissipation of the fundamental mode of millimeter-sized, high quality-factor (Q) metalized silicon nitride membranes at temperatures down to 14?mK using a three-dimensional optomechanical cavity. Below 200?mK, high-Q modes of the membranes show a diverging increase of Q with decreasing temperature, reaching Q=1.27×108Q=1.27×108 at 14?mK, an order of magnitude higher than that reported before. The ultra-low dissipation makes the membranes highly attractive for the study of optomechanics in the quantum regime, as well as for other applications of optomechanics such as microwave to optical photon conversion

Journal ArticleDOI
TL;DR: This paper introduces a simplified fabrication method for vacuum-sealed capacitive micromachined ultrasonic transducer arrays using anodic bonding, which combines the advantages of a patterned metal bottom electrode on an insulating substrate, specifically low parasitic series resistance and low parasitic shunt capacitance.
Abstract: This paper introduces a simplified fabrication method for vacuum-sealed capacitive micromachined ultrasonic transducer (CMUT) arrays using anodic bonding. Anodic bonding provides the established advantages of wafer-bondingbased CMUT fabrication processes, including process simplicity, control over plate thickness and properties, high fill factor, and ability to implement large vibrating cells. In addition to these, compared with fusion bonding, anodic bonding can be performed at lower processing temperatures, i.e., 350°C as opposed to 1100°C; surface roughness requirement for anodic bonding is more than 10 times more relaxed, i.e., 5-nm rootmean- square (RMS) roughness as opposed to 0.5 nm for fusion bonding; anodic bonding can be performed on smaller contact area and hence improves the fill factor for CMUTs. Although anodic bonding has been previously used for CMUT fabrication, a CMUT with a vacuum cavity could not have been achieved, mainly because gas is trapped inside the cavities during anodic bonding. In the approach we present in this paper, the vacuum cavity is achieved by opening a channel in the plate structure to evacuate the trapped gas and subsequently sealing this channel by conformal silicon nitride deposition in the vacuum environment. The plate structure of the fabricated CMUT consists of the single-crystal silicon device layer of a silicon-on-insulator wafer and a thin silicon nitride insulation layer. The presented fabrication approach employs only three photolithographic steps and combines the advantages of anodic bonding with the advantages of a patterned metal bottom electrode on an insulating substrate, specifically low parasitic series resistance and low parasitic shunt capacitance. In this paper, the developed fabrication scheme is described in detail, including process recipes. The fabricated transducers are characterized using electrical input impedance measurements in air and hydrophone measurements in immersion. A representative design is used to demonstrate immersion operation in conventional, collapse-snapback, and collapse modes. In collapsemode operation, an output pressure of 1.67 MPa pp is shown at 7 MHz on the surface of the transducer for 60-Vpp, 3-cycle sinusoidal excitation at 30-V dc bias.

Journal ArticleDOI
TL;DR: In this paper, a review of high selectivity shallow trench isolation (STI) CMP slurries is presented along with the characteristics of the colloidal dispersions like the abrasives, additives, the interactions between them and with the films being planarized and the associated pH range in which the high selectivities are observed.
Abstract: Electrical isolation of the billion or so active components in each integrated device is achieved using shallow trench isolation (STI) which requires chemical mechanical planarization (CMP) involving silicon dioxide removal at a high rate and stopping on an underlying silicon nitride film. Several colloidal slurries with various additives can yield the desired high rate selectivity between the oxide and nitride films during CMP while maintaining an acceptably low nitride rate. Here, many of such high selectivity STI CMP slurries described in the literature are reviewed along with the characteristics of the colloidal dispersions like the abrasives, additives, the interactions between them and with the films being planarized and the associated pH range in which the high selectivity is observed. The mechanisms proposed to explain the high reactivity of ceria with oxide, the role of additives in suppressing the nitride removal rate and resulting high selectivity are discussed. Reduction of a multitude of defects in post-CMP processed STI structures still remains an important challenge, especially as the feature sizes continue to shrink. © The Author(s) 2015. Published by ECS. This is an open access article distributed under the terms of the Creative Commons Attribution 4.0 License (CC BY, http://creativecommons.org/licenses/by/4.0/), which permits unrestricted reuse of the work in any medium, provided the original work is properly cited. [DOI: 10.1149/2.0071511jss] All rights reserved.

Journal ArticleDOI
TL;DR: It is shown that, in measurements, the phase shift is due to free carrier accumulation inside the waveguides, and inverting the applied voltage also inverts the applied phase shift-an effect due to a quasi-static surface charge in the silicon nitride.
Abstract: We measure the voltage-dependent phase shift in silicon waveguides strained by a silicon nitride layer and show that, in our measurements, the phase shift is due to free carrier accumulation inside the waveguides. Nonetheless, inverting the applied voltage also inverts the applied phase shift—an effect due to a quasi-static surface charge in the silicon nitride. Since the measured effect is on the same order as recently published second-order nonlinearities attributed to the Pockels effect, inclusion of these carrier-based effects in the analysis of experimental data is of paramount importance.

Patent
05 Jan 2015
TL;DR: In this article, cyclic treatment of tungsten surface with Cl 2 plasma and with oxygen-containing radicals is used to anisotropic etch a tungstern-containing material.
Abstract: Methods for anisotropically etching a tungsten-containing material (such as doped or undoped tungsten metal) include cyclic treatment of tungsten surface with Cl 2 plasma and with oxygen-containing radicals. Treatment with chlorine plasma is performed while the substrate is electrically biased resulting in predominant etching of horizontal surfaces on the substrate. Treatment with oxygen-containing radicals passivates the surface of the substrate to etching, and protects the vertical surfaces of the substrate, such as sidewalls of recessed features, from etching. Treatment with Cl 2 plasma and with oxygen-containing radicals can be repeated in order to remove a desired amount of material. Anisotropic etching can be performed selectively in a presence of dielectric materials such as silicon oxide, silicon nitride, and silicon oxynitride.