scispace - formally typeset
Search or ask a question

Showing papers on "Silicon nitride published in 2016"


Patent
14 Jul 2016
TL;DR: In this paper, a laminated body is formed by alternately laminating a plurality of dielectric films and electrode films on a silicon substrate, and a through hole extending in the lamination direction is formed.
Abstract: A laminated body is formed by alternately laminating a plurality of dielectric films and electrode films on a silicon substrate. Next, a through hole extending in the lamination direction is formed in the laminated body. Next, a selective nitridation process is performed to selectively form a charge layer made of silicon nitride in a region of an inner surface of the through hole corresponding to the electrode film. Next, a high-pressure oxidation process is performed to form a block layer made of silicon oxide between the charge layer and the electrode film. Next, a tunnel layer made of silicon oxide is formed on an inner side surface of the through hole. Thus, a flash memory can be manufactured in which the charge layer is split for each electrode film.

297 citations


Journal ArticleDOI
20 Nov 2016
TL;DR: In this article, a fabrication procedure that leads to the demonstration of "finger-shaped" Si3N4 microresonators with intrinsic Qs up to 17 million at a free spectrum range (FSR) of 24.7 GHz that are suitable for telecommunication and microwave photonics applications.
Abstract: Optical resonators with high quality factors (Qs) are promising for a variety of applications due to the enhanced nonlinearity and increased photonic density of states at resonances. In particular, frequency combs (FCs) can be generated through four-wave mixing in high-Q microresonators made from Kerr nonlinear materials such as silica, silicon nitride, magnesium fluoride, and calcium fluoride. These devices have potential for on-chip frequency metrology and high-resolution spectroscopy, high-bandwidth radiofrequency information processing, and high-data-rate telecommunications. Silicon nitride microresonators are attractive due to their compatibility with integrated circuit manufacturing; they can be cladded with silica for long-term stable yet tunable operation, and allow multiple resonators to be coupled together to achieve novel functionalities. Despite previous demonstrations of high-Q silicon nitride resonators, FC generation using silicon nitride microresonator chips still requires pump power significantly higher than those in whispering gallery mode resonators made from silica, magnesium, and calcium fluorides, which all have shown resonator Qs between 0.1 and 100 billion. Here, we report on a fabrication procedure that leads to the demonstration of “finger-shaped” Si3N4 microresonators with intrinsic Qs up to 17 million at a free spectrum range (FSR) of 24.7 GHz that are suitable for telecommunication and microwave photonics applications. The frequency comb onset power can be as low as 2.36 mW and broad, single FSR combs can be generated at a low pump power of 24 mW, both within reach of on-chip semiconductor lasers. Our demonstration is an important step toward a fully integrated on-chip FC source.

193 citations


Journal ArticleDOI
TL;DR: In this paper, the authors report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiNx and evaluate the film's WER in 100:1 dilutions of HF in H2O.
Abstract: The continued scaling in transistors and memory elements has necessitated the development of atomic layer deposition (ALD) of silicon nitride (SiNx), particularly for use a low k dielectric spacer. One of the key material properties needed for SiNx films is a low wet etch rate (WER) in hydrofluoric (HF) acid. In this work, we report on the evaluation of multiple precursors for plasma enhanced atomic layer deposition (PEALD) of SiNx and evaluate the film’s WER in 100:1 dilutions of HF in H2O. The remote plasma capability available in PEALD, enabled controlling the density of the SiNx film. Namely, prolonged plasma exposure made films denser which corresponded to lower WER in a systematic fashion. We determined that there is a strong correlation between WER and the density of the film that extends across multiple precursors, PEALD reactors, and a variety of process conditions. Limiting all steps in the deposition to a maximum temperature of 350 °C, it was shown to be possible to achieve a WER in PEALD SiNx ...

144 citations


Journal ArticleDOI
Po Dong1
TL;DR: In this paper, the authors present a review of silicon photonic WDM circuits based on monolithically integrated silicon nitride (SiN) arrayed-waveguide gratings (AWGs) and thermally tunable silicon microring filters.
Abstract: Silicon photonics will provide low-cost, high-bandwidth and compact optical components for a wide range of applications in optical communications and interconnects. One of the cited key advantages is the capability of wavelength-division multiplexing (WDM). However, the nature of high-index contrast of silicon photonic devices leads to significant challenges when implementing on-chip WDM filters, which is one of the key components in WDM circuits. In this paper, we review several demonstrated silicon photonic WDM circuits based on monolithically integrated silicon nitride (SiN) arrayed-waveguide gratings (AWGs) and thermally tunable silicon microring filters. The use of SiN waveguides with lower index contrast than silicon waveguides enables the realization of high-performance AWGs. Meanwhile, they can evanescently couple to silicon waveguides with high efficiency. The thermally tunable silicon microrings can be used as modulators and wavelength (de)multiplexing filters to implement versatile WDM circuits. Reconfigurability of channel spacing and central wavelengths is achieved by individual tuning of the rings. In this paper, we review silicon photonic circuits for multiple-channel modulators, polarization-insensitive WDM receiver, and variable optical attenuators with multiplexer.

117 citations


Proceedings ArticleDOI
20 Mar 2016
TL;DR: The relative benefits of Silicon nitride and silicon photonics are discussed, which provide an alternative moderate-index-contrast system that is manufacturable in the same CMOS environment.
Abstract: Silicon photonics typically builds on a silicon-on-insulator based high-index-contrast waveguide system. Silicon nitride provides an alternative moderate-index-contrast system that is manufacturable in the same CMOS environment. This paper discusses the relative benefits of both platforms.

111 citations


Journal ArticleDOI
TL;DR: In this article, the authors characterized the thermoptic properties of silicon nitride ring resonators between 18 and 300 K and found that the resonant modes show low temperature dependence at cryogenic temperatures and higher dependence as the temperature increases.
Abstract: In this paper, we characterize the Thermo-optic properties of silicon nitride ring resonators between 18 and 300 K. The Thermo-optic coefficients of the silicon nitride core and the oxide cladding are measured by studying the temperature dependence of the resonance wavelengths. The resonant modes show low temperature dependence at cryogenic temperatures and higher dependence as the temperature increases. We find the Thermo-optic coefficients of PECVD silicon nitride and silicon oxide to be $2.51 \pm 0.08\ \text{E}$ - $5\ \text{K}^{-1}$ and $0.96 \pm 0.09\ \text{E}$ - $5\ \text{K}^{-1}$ at room temperature while decreasing by an order of magnitude when cooling to 18 K. To show the effect of variations in the thermo-optic coefficients on device performance, we study the tuning of a fully integrated electrically tunable filter as a function of voltage for different temperatures. The presented results provide new practical guidelines in designing photonic circuits for studying low-temperature optical phenomena.

100 citations


Journal ArticleDOI
TL;DR: A platform based on silicon nitride nanomembranes is presented for integrating superconducting microwave circuits with planar acoustic and optical devices such as phononic and photonic crystals and enhanced coupling is demonstrated for microwave backaction cooling of the 4.48 MHz mechanical resonance of a nanobeam.
Abstract: Radiation pressure has recently been used to effectively couple the quantum motion of mechanical elements to the fields of optical or microwave light. Integration of all three degrees of freedom—mechanical, optical and microwave—would enable a quantum interconnect between microwave and optical quantum systems. We present a platform based on silicon nitride nanomembranes for integrating superconducting microwave circuits with planar acoustic and optical devices such as phononic and photonic crystals. Using planar capacitors with vacuum gaps of 60 nm and spiral inductor coils of micron pitch we realize microwave resonant circuits with large electromechanical coupling to planar acoustic structures of nanoscale dimensions and femtoFarad motional capacitance. Using this enhanced coupling, we demonstrate microwave backaction cooling of the 4.48 MHz mechanical resonance of a nanobeam to an occupancy as low as 0.32. These results indicate the viability of silicon nitride nanomembranes as an all-in-one substrate for quantum electro-opto-mechanical experiments.

100 citations


Journal ArticleDOI
TL;DR: The role played by graphene in the friction and wear behavior of graphene/silicon carbide (SiC) composites, tested under dry sliding conditions and using silicon nitride balls as counterbodies, is investigated as a function of the graphene nanoplatelets (GNPs) content and the graphene source.
Abstract: The role played by graphene in the friction and wear behaviour of graphene/silicon carbide (SiC) composites, tested under dry sliding conditions and using silicon nitride balls as counterbodies, is investigated as a function of the graphene nanoplatelets (GNPs) content and the graphene source. GNPs composites show an enhanced wear resistance as compared to monolithic SiC, with maximum improvements of ∼70% for the material containing up to 20 vol.% of GNPs; whereas the friction performance depends on the sliding distance and GNPs content. The analysis of the wear debris by micro-Raman spectroscopy evidenced that the tribological behaviour of the GNPs/SiC materials is linked to the formation of an adhered lubricating and protecting tribofilm. Multilayered graphene fillers participate more actively in the protecting tribofilm than other graphene sources such as reduced graphene oxide or in-situ grown graphene flakes.

95 citations


Journal ArticleDOI
TL;DR: Second-order optical nonlinear effects (second-harmonic and sum-frequency generation) are demonstrated in the telecommunication band by periodic poling of thin films of lithium niobate wafer-bonded on silicon substrates and rib-loaded with silicon nitride channels to attain ridge waveguide with cross-sections of ~2 µm2.
Abstract: Second-order optical nonlinear effects (second-harmonic and sum-frequency generation) are demonstrated in the telecommunication band by periodic poling of thin films of lithium niobate wafer-bonded on silicon substrates and rib-loaded with silicon nitride channels to attain ridge waveguide with cross-sections of ~2 µm2. A nonlinear conversion of 8% is obtained with a pulsed input in 4 mm long waveguides. The choice of silicon substrate makes the platform potentially compatible with silicon photonics, and therefore may pave the path towards on-chip nonlinear and quantum-optic applications.

94 citations


Patent
29 Feb 2016
TL;DR: In this paper, a gas phase etch using plasma effluents formed in a remote plasma is described, which can be used to selectively remove exposed silicon on patterned heterogeneous structures.
Abstract: A method of etching exposed silicon on patterned heterogeneous structures is described and includes a gas phase etch using plasma effluents formed in a remote plasma The remote plasma excites a fluorine-containing precursor Plasma effluents within the remote plasma are flowed into a substrate processing region where the plasma effluents combine with a hydrogen-containing precursor The combination react with the patterned heterogeneous structures to remove an exposed silicon portion faster than a second exposed portion The silicon selectivity results from the presence of an ion suppressor positioned between the remote plasma and the substrate processing region The methods may be used to selectively remove silicon faster than silicon oxide, silicon nitride and a variety of metal-containing materials The methods may be used to remove small etch amounts in a controlled manner and may result in an extremely smooth silicon surface

86 citations


Patent
Dengliang Yang1, Faisal Yaqoob1, Pilyeon Park1, Helen H. Zhu1, Joon Hong Park1 
21 Sep 2016
TL;DR: In this paper, a method for selectively etching a silicon nitride layer on a substrate includes arranging a substrate on the substrate support of a substrate processing chamber, which includes an upper chamber region, an inductive coil arranged outside of the upper chamber, a lower chamber region including the substrate supports and a gas dispersion device.
Abstract: A method for selectively etching a silicon nitride layer on a substrate includes arranging a substrate on a substrate support of a substrate processing chamber The substrate processing chamber includes an upper chamber region, an inductive coil arranged outside of the upper chamber region, a lower chamber region including the substrate support and a gas dispersion device The gas dispersion device includes a plurality of holes in fluid communication with the upper chamber region and the lower chamber region The method includes supplying an etch gas mixture to the upper chamber region and striking inductively coupled plasma in the upper chamber region by supplying power to the inductive coil The etch gas mixture etches silicon nitride, promotes silicon dioxide passivation and promotes polysilicon passivation, The method includes selectively etching the silicon nitride layer on the substrate and extinguishing the inductively coupled plasma after a predetermined period

Journal ArticleDOI
Yang Yanyang1, J. Kuang1, Hao Wang1, Guolin Song1, Liu Yuan1, Guoyi Tang1 
TL;DR: In this paper, a new type of phase change microcapsules was synthesized based on n-octadecane core and polymethylmethacrylate shell supplemented with modified silicon nitride powders, aiming to achieve improvement of thermal property in the phase change materials.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate that a thick germanium layer can be transformed from an indirect into a direct band gap semiconductor by using silicon nitride stressor layers and achieve 1.75% (1.67%) biaxial tensile strain in 6 (9) μm diameter microdisks as measured from photoluminescence.
Abstract: Germanium is an ideal candidate to achieve a monolithically integrated laser source on silicon. Unfortunately bulk germanium is an indirect band gap semiconductor. Here, we demonstrate that a thick germanium layer can be transformed from an indirect into a direct band gap semiconductor by using silicon nitride stressor layers. We achieve 1.75% (1.67%) biaxial tensile strain in 6 (9) μm diameter microdisks as measured from photoluminescence. The modeling of the photoluminescence amplitude vs temperature indicates that the zone-center Γ valley has the same energy as the L valley for a 9 μm diameter strained microdisk and is even less for the 6 μm diameter microdisk, thus demonstrating that a direct band gap is indeed obtained. We deduce that the crossover in germanium from indirect to direct gap occurs for a 1.67% ± 0.05% biaxial strain at room temperature, the value of this parameter varying between 1.55% and 2% in the literature.

Patent
07 Sep 2016
TL;DR: In this paper, the number of thermal atomic layer deposition cycles can be equal to or greater than a number of plasma-enhanced atomic layer injection cycles in a single NN.
Abstract: Provided are methods and apparatuses for depositing a nitride film using one or more plasma-enhanced atomic layer deposition cycles and one or more thermal atomic layer deposition cycles in a single reactor. The number of thermal atomic layer deposition cycles can be equal to or greater than the number of plasma-enhanced atomic layer deposition cycles. Incorporation of thermal atomic layer deposition cycles with plasma-enhanced atomic layer deposition cycles can allow for greater fine-tuning of properties of the nitride film. In some implementations, the nitride film is a silicon nitride film. The silicon nitride film can be fine-tuned to allow for a more silicon-rich film with a greater refractive index. In some implementations, the plasma-enhanced atomic layer deposition cycles and the thermal atomic layer deposition cycles can be maintained at the same wafer temperature.

Journal ArticleDOI
Chuanbing Cheng1, Kelan Yan1, Runhua Fan1, Lei Qian1, Zidong Zhang1, Kai Sun1, Min Chen1 
01 Jan 2016-Carbon
TL;DR: In this paper, the carbon/silicon nitride composites consisting of amorphous carbon dispersed in porous Si3N4 matrix were prepared by facile impregnation-carbonization process at low temperature.

Journal ArticleDOI
TL;DR: A novel experimental setup is developed that provides chemical information on a molecular level under atmospheric pressure and in presence of reactive gases and at elevated temperatures that is based on separating the vacuum environment from the high-pressure environment by a silicon nitride grid.
Abstract: One of the main goals in catalysis is the characterization of solid/gas interfaces in a reaction environment. The electronic structure and chemical composition of surfaces become heavily influenced by the surrounding environment. However, the lack of surface sensitive techniques that are able to monitor these modifications under high pressure conditions hinders the understanding of such processes. This limitation is known throughout the community as the “pressure gap.” We have developed a novel experimental setup that provides chemical information on a molecular level under atmospheric pressure and in presence of reactive gases and at elevated temperatures. This approach is based on separating the vacuum environment from the high-pressure environment by a silicon nitride grid—that contains an array of micrometer-sized holes—coated with a bilayer of graphene. Using this configuration, we have investigated the local electronic structure of catalysts by means of photoelectron spectroscopy and in presence of gases at 1 atm. The reaction products were monitored online by mass spectrometry and gas chromatography. The successful operation of this setup was demonstrated with three different examples: the oxidation/reduction reaction of iridium (noble metal) and copper (transition metal) nanoparticles and with the hydrogenation of propyne on Pd black catalyst (powder).

Journal ArticleDOI
TL;DR: In this article, a germanium-on-silicon nitride waveguide was demonstrated at mid-infrared wavelength, where the misfit dislocations which initially form along the interface between GIs/silicon can be removed by chemical mechanical polishing after layer transfer process.
Abstract: A germanium-based platform with a large core-clad index contrast, germanium-on-silicon nitride waveguide, is demonstrated at mid-infrared wavelength. Simulations are performed to verify the feasibility of this structure. This structure is realized by first bonding a silicon-nitride-deposited germanium-on-silicon donor wafer onto a silicon substrate wafer, followed by the layer transfer approach to obtain germanium-on-silicon nitride structure, which is scalable to all wafer sizes. The misfit dislocations which initially form along the interface between germanium/silicon can be removed by chemical mechanical polishing after layer transfer process resulting in a high-quality germanium layer. At the mid-infrared wavelength of 3.8 μm, the germanium-on-silicon nitride waveguide has a propagation loss of 3.35 ± 0.5 dB/cm and a bend loss of 0.14 ± 0.01 dB/bend for a radius of 5 μm for the transverse-electric mode.

Patent
28 Dec 2016
TL;DR: In this paper, the authors described a method for selectively etching tungsten from the surface of a patterned substrate. But the method is not suitable for high etch selectivity.
Abstract: Methods of selectively etching tungsten from the surface of a patterned substrate are described. The etch electrically separates vertically arranged tungsten slabs from one another as needed, for example, in the manufacture of vertical flash memory devices. The tungsten etch may selectively remove tungsten relative to films such as silicon, polysilicon, silicon oxide, aluminum oxide, titanium nitride and silicon nitride. The methods include exposing electrically-shorted tungsten slabs to remotely-excited fluorine formed in a capacitively-excited chamber plasma region. The methods then include exposing the tungsten slabs to remotely-excited fluorine formed in an inductively-excited remote plasma system. A low electron temperature is maintained in the substrate processing region during each operation to achieve high etch selectivity.

Journal ArticleDOI
TL;DR: In this paper, an Al 2618 matrix material was mixed with the Silicon Nitride (Si 3 N 4 ), Al N and Zirconium Boride (ZrB 2 ) reinforced particles, and the worn surfaces were analyzed before and after wear testing by Scanning Electron Microscope (SEM).
Abstract: Al 2618 matrix material was mixed with the Silicon Nitride (Si 3 N 4 ), Aluminium Nitride (AlN) and Zirconium Boride (ZrB 2 ) reinforced particles. AMC was synthesized successfully by the stir casting method with the various X -wt.% of reinforcements ( X = 0, 2, 4, 6, 8). Tribological behaviour was studied in this composite with various temperature conditions. The working conditions were Temperature (°C), Load (N), Velocity (m/s) and Sliding Distances (m). Before wear testing the mechanical behaviour has been analysed. EDAX was confirmed by the matrix material composition. The Al 2618 alloy and the reinforcement mixers were confirmed by the X-ray Diffraction analysis. Wear rate (mm 3 /m), Wear resistance (m/mm 3 ), Specific Wear rate (m/Nm) and Co-efficient of friction (μ) were analysed with various conditions. The worn surfaces were analysed before and after wear testing by Scanning Electron Microscope (SEM). Influence of process parameters and Percentage of contribution were analysed by Taguchi and Analysis of Variance (ANOVA) methods. Genetic Algorithm (GA) was adopted for optimizing the best and mean of the wear rate and to identify the exact influence of input parameters.

Patent
Jungmin Ko1, Tom Choi1, Nitin K. Ingle1, Kwang-Soo Kim1, Theodore Wou1 
14 Nov 2016
TL;DR: In this paper, a remote plasma may be formed from a fluorine-containing precursor to produce plasma effluents, which may then be used to modify the oxidized silicon nitride.
Abstract: Processing methods may be performed to form recesses in a semiconductor substrate. The methods may include oxidizing an exposed silicon nitride surface on a semiconductor substrate within a processing region of a semiconductor processing chamber. The methods may include forming an inert plasma within the processing region of the processing chamber. Effluents of the inert plasma may be utilized to modify the oxidized silicon nitride. A remote plasma may be formed from a fluorine-containing precursor to produce plasma effluents. The methods may include flowing the plasma effluents to the processing region of the semiconductor processing chamber. The methods may also include removing the modified oxidized silicon nitride from the semiconductor substrate.

Journal ArticleDOI
TL;DR: In this paper, an octave-spanning supercontinuum generation in a nonstoichiometric silicon-rich nitride waveguide was demonstrated by femtosecond pulses from an erbium fiber laser.
Abstract: We experimentally show octave-spanning supercontinuum generation in a nonstoichiometric silicon-rich nitride waveguide when pumped by femtosecond pulses from an erbium fiber laser. The pulse energy and bandwidth are comparable to results achieved in stoichiometric silicon nitride waveguides, but our material platform is simpler to manufacture. We also observe wave-breaking supercontinuum generation by using orthogonal pumping in the same waveguide. Additional analysis reveals that the waveguide height is a powerful tuning parameter for generating mid-infrared dispersive waves while keeping the pump in the telecom band.

Journal ArticleDOI
TL;DR: In this article, a second-order optical nonlinear effects (second-harmonic and sum-frequency generation) are demonstrated in the telecommunication band by periodic poling of thin films of lithium niobate wafer-bonded on silicon substrates and rib-loaded with silicon nitride channels to attain ridge waveguide with cross-sections of 2 µm2.
Abstract: Second-order optical nonlinear effects (second-harmonic and sum-frequency generation) are demonstrated in the telecommunication band by periodic poling of thin films of lithium niobate wafer-bonded on silicon substrates and rib-loaded with silicon nitride channels to attain ridge waveguide with cross-sections of ~ 2 {\mu}m2. The compactness of the waveguides results in efficient second-order nonlinear devices. A nonlinear conversion of 8% is obtained with a pulsed input in 4 mm long waveguides. The choice of silicon substrate makes the platform potentially compatible with silicon photonics, and therefore may pave the path towards on-chip nonlinear and quantum-optic applications.

Journal ArticleDOI
TL;DR: Its small footprint, minimal energy consumption, high efficiency, and silicon compatibility demonstrate that on-chip thulium lasers are promising light sources for silicon microphotonic systems.
Abstract: We demonstrate an ultra-compact and low-threshold thulium microcavity laser that is monolithically integrated on a silicon chip. The integrated microlaser consists of an active thulium-doped aluminum oxide microcavity beside a passive silicon nitride bus waveguide, which enables on-chip pump-input and laser-output coupling. We observe lasing in the wavelength range of 1.8–1.9 μm under 1.6 μm resonant pumping and at varying waveguide-microcavity gap sizes. The microlaser exhibits a threshold as low as 773 μW (226 μW) and a slope efficiency as high as 24% (48%) with respect to the pump power coupled into the silicon nitride bus waveguide (microcavity). Its small footprint, minimal energy consumption, high efficiency, and silicon compatibility demonstrate that on-chip thulium lasers are promising light sources for silicon microphotonic systems.

Journal ArticleDOI
TL;DR: In this article, a Pt-supported mesoporosity of 3D SiC and carbonitride structures was used for growing platinum nanoparticles (Pt NPs) by wet impregnation followed by reduction in hydrogen/argon flow.

Patent
09 Sep 2016
TL;DR: In this article, conformal films and methods for forming a conformal Group 4, 5, 6, 13 metal or metalloid doped silicon nitride dielectric film are described.
Abstract: Described herein are conformal films and methods for forming a conformal Group 4, 5, 6, 13 metal or metalloid doped silicon nitride dielectric film. In one aspect, there is provided a method of forming an aluminum silicon nitride film comprising the steps of: providing a substrate in a reactor; introducing into the reactor an at least one metal precursor which reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer; purging the reactor with a purge gas; introducing into the reactor an organoaminosilane precursors to react on at least a portion of the surface of the substrate to provide a chemisorbed layer; introducing a plasma comprising nitrogen and an inert gas into the reactor to react with at least a portion of the chemisorbed layer and provide at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1.5 W/cm2; and optionally purge the reactor with an inert gas; and wherein the steps are repeated until a desired thickness of the aluminum nitride film is obtained.

Journal ArticleDOI
TL;DR: In this paper, secondary ion mass spectrometry (SIMS) depth profiling of iron is performed on annealed iron-contaminated single-crystalline silicon wafers passivated with PECVD silicon nitride films.
Abstract: It is known that the interstitial iron concentration in silicon is reduced after annealing silicon wafers coated with plasma-enhanced chemical vapour deposited (PECVD) silicon nitride films. The underlying mechanism for the significant iron reduction has remained unclear and is investigated in this work. Secondary ion mass spectrometry (SIMS) depth profiling of iron is performed on annealed iron-contaminated single-crystalline silicon wafers passivated with PECVD silicon nitride films. SIMS measurements reveal a high concentration of iron uniformly distributed in the annealed silicon nitride films. This accumulation of iron in the silicon nitride film matches the interstitial iron loss in the silicon bulk. This finding conclusively shows that the interstitial iron is gettered by the silicon nitride films during annealing over a wide temperature range from 250 °C to 900 °C, via a segregation gettering effect. Further experimental evidence is presented to support this finding. Deep-level transient spectrosc...

Patent
04 Feb 2016
TL;DR: In this paper, compositions and methods using same for forming a silicon-containing film such as, without limitation, a carbon doped silicon oxide film, a carbosilane having at least one Si-C-Si linkage, a halide atom, an amino group, and combinations thereof are described.
Abstract: Described herein are compositions and methods using same for forming a silicon-containing film such as, without limitation, a carbon doped silicon oxide film, a carbon doped silicon nitride, a carbon doped silicon oxynitride film in a deposition process. In one aspect, the composition comprises at least cyclic carbosilane having at least one Si-C-Si linkage and at least one anchoring group selected from a halide atom, an amino group, and combinations thereof.

Patent
07 Oct 2016
TL;DR: In this paper, the authors present a method for laterally etching silicon nitride from the sidewalls of a trench formed through stacked layers including alternating layers of silicon oxide and silicon oxide.
Abstract: Exemplary methods for laterally etching silicon nitride may include flowing a fluorine-containing precursor and an oxygen-containing precursor into a remote plasma region of a semiconductor processing chamber. The methods may include forming a plasma within the remote plasma region to generate plasma effluents of the fluorine-containing precursor and the oxygen-containing precursor. The methods may also include flowing the plasma effluents into a processing region of the semiconductor processing chamber. A substrate may be positioned within the processing region, and the substrate may include a trench formed through stacked layers including alternating layers of silicon nitride and silicon oxide. The methods may also include laterally etching the layers of silicon nitride from sidewalls of the trench while substantially maintaining the layers of silicon oxide. The layers of silicon nitride may be laterally etched less than 10 nm from the sidewalls of the trench.

Journal ArticleDOI
TL;DR: In this article, the performance of N-Si anodes with various thickness of nitride layer is investigated, from the potential window of 1.2-V-0.05-V, high rate charge-discharge and long cycle test have been executed to investigate the electrochemical performances of various n-Si coated Si-based lithium ion batteries anode materials.

Patent
28 Jul 2016
TL;DR: In this article, compositions, silicon nitride films and methods for forming silicon-nide films using at least on cyclodisilazane precursor are described. But the method of forming a silicon-nitride film is not described.
Abstract: Described herein are compositions, silicon nitride films and methods for forming silicon nitride films using at least on cyclodisilazane precursor. In one aspect, there is provided a method of forming a silicon nitride film comprising the steps of: providing a substrate in a reactor; introducing into the reactor an at least one cyclodisilazane comprising a hydrocarbon leaving group and two Si-H groups wherein the at least one cyclodisilazane reacts on at least a portion of the surface of the substrate to provide a chemisorbed layer; purging the reactor with a purge gas; introducing a plasma comprising nitrogen and an inert gas into the reactor to react with at least a portion of the chemisorbed layer and provide at least one reactive site wherein the plasma is generated at a power density ranging from about 0.01 to about 1.5 W/cm 2 .