scispace - formally typeset
Search or ask a question

Showing papers on "Stuck-at fault published in 2014"


Journal ArticleDOI
TL;DR: The results show that the fault diagnosis model using evidences from only sensor data is accurate for single fault, while it is not accurate enough for multiple-simultaneous faults, and the multi-source information fusion based fault diagnosed model using Bayesian network can increase the fault diagnostic accuracy greatly.

268 citations


Journal ArticleDOI
TL;DR: In this paper, an intelligent method based on artificial neural networks (ANNs) was proposed to detect bearing defects of induction motors. But, the proposed method is based on analyzing time-domain features which needs less computational effort.

214 citations


Journal ArticleDOI
TL;DR: This paper presents a single-ended traveling wave-based fault location method for a hybrid transmission line: an overhead line combined with an underground cable that is tested for different fault inception angles, fault resistances, non-linear high impedance faults, and non-ideal faults with satisfactory results.
Abstract: This paper presents a single-ended traveling wave-based fault location method for a hybrid transmission line: an overhead line combined with an underground cable. Discrete wavelet transformation (DWT) is used to extract transient information from the measured voltages. Support vector machine (SVM) classifiers are utilized to identify the faulty-section and faulty-half. Bewley diagrams are observed for the traveling wave patterns and the wavelet coefficients of the aerial mode voltage are used to locate the fault. The transient simulation for different fault types and locations are obtained by ATP using frequency-dependent line and cable models. MATLAB is used to process the simulated transients and apply the proposed method. The performance of the method is tested for different fault inception angles (FIA), different fault resistances, non-linear high impedance faults (NLHIF), and non-ideal faults with satisfactory results. The impact of cable aging on the proposed method accuracy is also investigated.

212 citations


Journal ArticleDOI
TL;DR: In this paper, the authors proposed a new diagnosis method of an open-switch fault and fault-tolerant control strategy for T-type three-level inverter systems, where the location of the faulty switch can be identified by the average of the normalized phase current and the change of the neutral point voltage.
Abstract: This paper proposes a new diagnosis method of an open-switch fault and fault-tolerant control strategy for T-type three-level inverter systems. The location of the faulty switch can be identified by the average of the normalized phase current and the change of the neutral-point voltage. The proposed fault-tolerant strategy is explained by dividing into two cases: the faulty condition of half-bridge switches and neutral-point switches. The performance of the T-type inverter system improves considerably by the proposed fault-tolerant algorithm when a switch fails. The proposed method does not require additional components and complex calculations. Simulation and experimental results verify the feasibility of the proposed fault diagnosis and fault-tolerant control strategy.

210 citations


Journal ArticleDOI
TL;DR: In this article, the traveling-wave principle along with two graph theory-based lemmas is deployed to locate the fault by sectionalizing the graph representation of the MTDC system.
Abstract: While in many applications, multiterminal dc (MTDC) systems are potentially appropriate substitutes for their ac counterparts, their protection problems still require more attention. This paper proposes a novel traveling-wave-based methodology for fault location in MTDC systems. The traveling-wave principle, along with two graph theory-based lemmas, is deployed to locate the fault by sectionalizing the graph representation of the MTDC system. Accordingly, the system of equations relating the fault inception time, fault point, and first arrival time at different converter locations would be derived and solved. The method merely needs the first surge arrival times, thereby eliminating the practical problems in relation to identifying subsequent traveling waves. More important, it successfully determines the fault location, regardless of the network topology complexity, that is, the number of its meshes and radial lines. To demonstrate the effectiveness of the method, it is applied to some complicated MTDC systems containing meshes and radial lines. Numerous simulation studies carried out for different conditions verify high accuracy, robustness against fault impedance, and noise immunity of the proposed method.

196 citations


Journal ArticleDOI
TL;DR: A neural network-based fault detection and isolation (FDI) scheme is presented to detect and isolate faults in a highly nonlinear dynamics of an aircraft jet engine.

178 citations


Journal ArticleDOI
TL;DR: The theory of one-ended and two-ended impedance-based fault location algorithms are presented and what additional information can be gleaned from waveforms recorded by intelligent electronic devices (IEDs) during a fault is assessed.
Abstract: A number of impedance-based fault location algorithms have been developed for estimating the distance to faults in a transmission network. Each algorithm has specific input data requirements and makes certain assumptions that may or may not hold true in a particular fault location scenario. Without a detailed understanding of the principle of each fault-locating method, choosing the most suitable fault location algorithm can be a challenging task. This paper, therefore, presents the theory of one-ended (simple reactance, Takagi, modified Takagi, Eriksson, and Novosel et al. ) and two-ended (synchronized, unsynchronized, and current-only) impedance-based fault location algorithms and demonstrates their application in locating real-world faults. The theory details the formulation and input data requirement of each fault-locating algorithm and evaluates the sensitivity of each to the following error sources: 1) load; 2) remote infeed; 3) fault resistance; 4) mutual coupling; 5) inaccurate line impedances; 6) DC offset and CT saturation; 7) three-terminal lines; and 8) tapped radial lines. From the theoretical analysis and field data testing, the following criteria are recommended for choosing the most suitable fault-locating algorithm: 1) data availability and 2) fault location application scenario. Another objective of this paper is to assess what additional information can be gleaned from waveforms recorded by intelligent electronic devices (IEDs) during a fault. Actual fault event data captured in utility networks is exploited to gain valuable feedback about the transmission network upstream from the IED device, and estimate the value of fault resistance.

176 citations


Journal ArticleDOI
TL;DR: A novel k-step fault-estimation observer is proposed to construct the k-1)th fault error dynamics and a dynamic output feedback fault tolerant controller is designed to compensate the fault effects on the closed-loop fuzzy system.
Abstract: This paper is concerned with the problem of robust fault estimation and fault-tolerant control for a class of Takagi–Sugeno (T–S) fuzzy systems with time-varying state delay and actuator faults. Based on the ( $k-1$ )th fault estimation information, a novel $k$ -step fault-estimation observer is proposed to construct the $k$ th fault error dynamics. The obtained fault estimates via $k$ -step fault-estimation can practically better depict the size and shape of the faults. Then, based on the information of online $k$ -step fault-estimation, a dynamic output feedback fault tolerant controller is designed to compensate the fault effects on the closed-loop fuzzy system. Furthermore, some less conservative delay dependent sufficient conditions for the existence of fault estimation observers and fault tolerant controllers are given in terms of solution to a set of linear matrix inequalities. Finally, simulation results of two numerical examples are presented to show the effectiveness and merits of the proposed methods.

163 citations


Journal ArticleDOI
TL;DR: A novel NN-based active fault-tolerant control scheme with fault alarm is proposed, which can minimize the time delay between fault occurrence and accommodation, and reduce the adverse effect on system performance.
Abstract: In this paper, the problem of adaptive active fault-tolerant control for a class of nonlinear systems with unknown actuator fault is investigated. The actuator fault is assumed to have no traditional affine appearance of the system state variables and control input. The useful property of the basis function of the radial basis function neural network (NN), which will be used in the design of the fault tolerant controller, is explored. Based on the analysis of the design of normal and passive fault tolerant controllers, by using the implicit function theorem, a novel NN-based active fault-tolerant control scheme with fault alarm is proposed. Comparing with results in the literature, the fault-tolerant control scheme can minimize the time delay between fault occurrence and accommodation that is called the time delay due to fault diagnosis, and reduce the adverse effect on system performance. In addition, the FTC scheme has the advantages of a passive fault-tolerant control scheme as well as the traditional active fault-tolerant control scheme's properties. Furthermore, the fault-tolerant control scheme requires no additional fault detection and isolation model which is necessary in the traditional active fault-tolerant control scheme. Finally, simulation results are presented to demonstrate the efficiency of the developed techniques.

130 citations


Journal ArticleDOI
TL;DR: An automatic and fast faulted line-section location method for distribution systems based on FIs is proposed in this article, where line sections between adjacent FIs can be treated as a possible fault location (PFL) and the fault current detected by the FI can be considered as line current (LC) flowing between the adjacent PFLs.
Abstract: Fault indicating devices such as fault indicators (FIs) have been widely used in distribution systems to improve reliability and reduce outage duration. Recently, FIs with communication interfaces are integrated into distribution automation (DA) to further reduce fault-finding time by reporting FIs' statuses back to control center. When faults occur, a lot of alarms and fault information are received from Outage Management System (OMS), Trouble Call System (TCS) and Customer Information System (CIS) and are shown to system operators. As a result, the identification of faulted line sections in a wide-ranging distribution system from FIs' statuses is not an easy task, especially when multiple faults occur simultaneously and/or distributed generators (DGs) are connected. An automatic and fast faulted line-section location method for distribution systems based on FIs is proposed in this paper. The line sections between adjacent FIs can be treated as a possible fault location (PFL) and the fault current detected by the FI can be considered as line current (LC) flowing between the adjacent PFLs. A relationship matrix between PFLs and LCs is then derived and used to design the proposed automatic and fast faulted line-section location method. The faulted line sections can then be located effectively and efficiently by the proposed method. Test results for an actual distribution system demonstrate the validity of the proposed faulted line-section location method.

127 citations


Journal ArticleDOI
TL;DR: In this article, the problem of Fault Detection and Isolation (FDI) and Fault Tolerant Control (FTC) of wind turbines is addressed, and a fault estimation approach based on batch least squares is used.

Proceedings ArticleDOI
23 Sep 2014
TL;DR: Differential Fault Intensity Analysis is introduced, which combines the principles of Differential Power Analysis and fault injection and finds that with an average of 7 fault injections, it can reconstruct a full 128-bit AES key.
Abstract: Recent research has demonstrated that there is no sharp distinction between passive attacks based on side-channel leakage and active attacks based on fault injection. Fault behavior can be processed as side-channel information, offering all the benefits of Differential Power Analysis including noise averaging and hypothesis testing by correlation. This paper introduces Differential Fault Intensity Analysis, which combines the principles of Differential Power Analysis and fault injection. We observe that most faults are biased - such as single-bit, two-bit, or three-bit errors in a byte - and that this property can reveal the secret key through a hypothesis test. Unlike Differential Fault Analysis, we do not require precise analysis of the fault propagation. Unlike Fault Sensitivity Analysis, we do not require a fault sensitivity profile for the device under attack. We demonstrate our method on an FPGA implementation of AES with a fault injection model. We find that with an average of 7 fault injections, we can reconstruct a full 128-bit AES key.

Journal ArticleDOI
TL;DR: In this paper, a new approach is proposed for current sensor fault diagnosis in PMSG drives for WECSs, which uses the measured phase currents only to diagnose the current sensor and open-circuit faults.
Abstract: Fault diagnosis is a mandatory feature in fault-tolerant systems, since it provides the information necessary for the fault isolation and system reconfiguration. Recently, permanent-magnet synchronous generator (PMSG) drives have achieved prominence in wind energy conversion systems (WECSs), due to their reliability and availability. In this paper, a new approach is proposed for current sensor fault diagnosis in PMSG drives for WECSs. As opposed to the conventional state-observer-based methods for current sensor faults, which require a system model and the respective parameters, the proposed diagnostic method uses the measured phase currents only. Thus, its main merits are simplicity and reliability in the diagnosis, making it suitable for real-time implementation and to trigger remedial procedures. In addition, current sensor and open-circuit faults can be distinguished, and the affected phase is effectively identified in both cases. The proposed diagnostic technique is applied to the two power converters of a conventional back-to-back topology, and its performance is analyzed by means of several experimental results.

Journal ArticleDOI
TL;DR: In this article, a sparse representation based latent component decomposition method is proposed for weak machinery fault detection, which is based on shift-invariant sparse coding algorithm for capturing the underlying structure of machinery fault signal by iteratively solving two convex optimization problems: an L1-regularized least squares problem and an L2-constrained least square problem.

Journal ArticleDOI
TL;DR: In this article, a simple source plus impedance model for inverter-interfaced distributed generation is proposed for fault analysis in a 3-inverter network, whose source and impedance values can be expressed as a function of the inverter's hardware parameters and controller gains.

Proceedings ArticleDOI
06 May 2014
TL;DR: This paper presents a survey on the simulation-based fault injection techniques, with a focus on complex micro-processor based systems.
Abstract: Dependability is a key decision factor in today's global business environment. A powerful method that permits to evaluate the dependability of a system is the fault injection. The principle of this approach is to insert faults into the system and to monitor its responses in order to observe its behavior in the presence of faults. Several fault injection techniques and tools have been developed and experimentally tested. They could be mainly grouped into three categories: hardware fault injection, simulation-based fault injection, and emulation-based fault injection. This paper presents a survey on the simulation-based fault injection techniques, with a focus on complex micro-processor based systems.

Journal ArticleDOI
TL;DR: In this article, the authors provide a fault-tolerant replacement sequence for almost all the instructions of the Thumb-2 instruction set and provide a formal verification for this fault tolerance.
Abstract: Fault attacks against embedded circuits enabled to define many new attack paths against secure circuits. Every attack path relies on a specific fault model which defines the type of faults that the attacker can perform. On embedded processors, a fault model consisting in an assembly instruction skip can be very useful for an attacker and has been obtained by using several fault injection means. To avoid this threat, some countermeasure schemes which rely on temporal redundancy have been proposed. Nevertheless, double fault injection in a long enough time interval is practical and can bypass those countermeasure schemes. Some fine-grained countermeasure schemes have also been proposed for specific instructions. However, to the best of our knowledge, no approach that enables to secure a generic assembly program in order to make it fault-tolerant to instruction skip attacks has been formally proven yet. In this paper, we provide a fault-tolerant replacement sequence for almost all the instructions of the Thumb-2 instruction set and provide a formal verification for this fault tolerance. This simple transformation enables to add a reasonably good security level to an embedded program and makes practical fault injection attacks much harder to achieve.

Journal ArticleDOI
TL;DR: In this paper, synchronized samples captured during transients from both ends of the transmission line were used to detect, classify, and locate transmission-line faults and verify that the tripped line has indeed experienced a fault.
Abstract: An automated analysis approach, which can automatically characterize fault and subsequent relay operation, is the focus of this paper. It utilizes synchronized samples captured during transients from both ends of the transmission line to detect, classify, and locate transmission-line faults and can verify that the tripped line has indeed experienced a fault. The proposed method is tested for several faults simulated on an IEEE 118-bus test system and it has been concluded that it can detect and classify a fault using prefault and postfault recorded samples within 7 ms of fault inception and can accurately locate a fault with 3% accuracy. This time response performance is highly desirable since with the increasing use of modern circuit breakers, which can open the faulty line in less than two cycles, the time window of the captured waveforms is significantly reduced due to the unavailability of measurement signals after breakers open.

Journal ArticleDOI
TL;DR: The analysis of the system performance degraded by TDDTFD is developed, and the conditions under which the magnitudes of sensor faults should be satisfied such that the state of the faulty system controlled by the normal controller remains bounded during TDD TFD are derived.
Abstract: It is well known that there always exist some level of time delay between fault occurrence and fault accommodation, which is called as the time delay due to fault diagnosis (TDDTFD) in this paper. TDDTFD may cause severe loss of system performance and stability. This paper investigates the TDDTFD's adverse effect on the system performance. First, a fault diagnosis (FD) model is constructed to diagnose sensor faults which integrate time-varying gain and bias faults, where a novel FD algorithm is proposed, which removes the classical assumption that the time derivative of the output error should be known. Meanwhile, the time spent at each step in FD and its analytical expression are derived strictly. Further, the analysis of the system performance degraded by TDDTFD is developed, and the conditions under which the magnitudes of sensor faults should be satisfied such that the state of the faulty system controlled by the normal controller remains bounded during TDDTFD are derived. In addition, the corresponding solutions are proposed to minimize the adverse effect of the time delay. Finally, simulation results of near-space vehicle attitude dynamics are presented to demonstrate the efficiency of the proposed approach.

Journal ArticleDOI
TL;DR: A novel monitoring system, designed to detect open-circuit (OC) faults that occur in the matrix converter (MC) topology, is proposed in this work and a new diagnosis method is implemented which is based on the discrete wavelet transform analysis of the measured output current waveform.
Abstract: A novel monitoring system, designed to detect open-circuit (OC) faults that occur in the matrix converter (MC) topology, is proposed in this work. In this monitoring system, a new diagnosis method is implemented which is based on the discrete wavelet transform analysis of the measured output current waveform. In order to ensure the effectiveness of the proposed method and its resistivity to erroneous fault detections, a fuzzy expert system is used in the designed monitoring system. The main advantages of the proposed method are that the implementation cost is minimized because no extra sensors are used and that no information from the control algorithm about the modulation parameters or the applied pulse sequence is required, reducing its implementation complexity and facilitating a more modular design. Additionally, it can be easily adapted to modified matrix topologies. A simple and robust method for the localization of the open-circuited transistor(s) within the identified faulty leg is also proposed. The proposed techniques are validated by simulation and experimental tests. The remedial operation of MC drives after the occurrence of an OC fault by using a redundant leg is also studied. The use of carrier-based modulation methods for this operation is experimentally validated, and related issues are discussed.

Journal ArticleDOI
TL;DR: This paper focuses on the studies of fault detection, fault classification, fault location, fault phase selection, and fault direction discrimination by using artificial neural networks approach.
Abstract: Contemporary power systems are associated with serious issues of faults on high voltage transmission lines. Instant isolation of fault is necessary to maintain the system stability. Protective relay utilizes current and voltage signals to detect, classify, and locate the fault in transmission line. A trip signal will be sent by the relay to a circuit breaker with the purpose of disconnecting the faulted line from the rest of the system in case of a disturbance for maintaining the stability of the remaining healthy system. This paper focuses on the studies of fault detection, fault classification, fault location, fault phase selection, and fault direction discrimination by using artificial neural networks approach. Artificial neural networks are valuable for power system applications as they can be trained with offline data. Efforts have been made in this study to incorporate and review approximately all important techniques and philosophies of transmission line protection reported in the literature till June 2014. This comprehensive and exhaustive survey will reduce the difficulty of new researchers to evaluate different ANN based techniques with a set of references of all concerned contributions.

Journal ArticleDOI
TL;DR: This work shows how to use a differential geometry tool to design a novel nonlinear active fault tolerant flight control system for aircraft and shows the effectiveness of the scheme.

Journal ArticleDOI
TL;DR: This paper focuses on the development of a model-based prognosis framework for hybrid systems where a dynamic fault isolation scheme is proposed to facilitate the prognostic tasks.
Abstract: Prognosis of hybrid systems is a challenging problem because multiple faults may happen simultaneously at a mode where these faults have different detectability. In other words, at the fault-initiating mode, some of the faults are detectable while others are nondetectable. As a result, decision making based on only one observation of abnormal behavior is not reliable under this condition. This paper focuses on the development of a model-based prognosis framework for hybrid systems where a dynamic fault isolation scheme is proposed to facilitate the prognostic tasks. The degradation behavior of each faulty component is mode dependent and can be estimated by a hybrid differential evolution algorithm. Thereafter, the remaining useful life of the faulty component that varies with different operating modes is calculated by using both the estimated degradation model and the user-selected failure threshold. Experiments are carried out to validate the key concepts of the developed methods, and results suggest the effectiveness.

Journal ArticleDOI
TL;DR: Recognition experiments on the diesel engine under eleven different conditions show that the online fault diagnosis method based on ISVDD and IOELM works well, and the method is also feasible in fault diagnosis of other mechanical equipments.

Journal ArticleDOI
TL;DR: In this paper, an integrated impedance and transient-based formulation for fault location in distribution systems is proposed, which uses an extended apparent impedance analysis in order to estimate the fault distance, taking into account the unbalanced operation, intermediate loads, laterals, and time-varying load profile of distribution systems.

Journal ArticleDOI
TL;DR: The paper reaches the conclusion that the multi-class LAD based fault detection and identification is a promising diagnostic approach in CBM.
Abstract: This paper presents the implementation of a novel multi-class diagnostic technique for the detection and identification of faults based on an approach called logical analysis of data (LAD). LAD is a data mining, artificial intelligence approach that is based on pattern recognition. In the context of condition based maintenance (CBM), historical data containing condition indices and the state of the machine are the inputs to LAD. After training and testing phases, LAD generates patterns that characterize the faulty states according to the type of fault, and differentiate between these states and the normal state. These patterns are found by solving a mixed 0---1 integer linear programming problem. They are then used to detect and to identify a future unknown state of equipment. The diagnostic technique has already been tested on several known machine learning datasets. The results proved that the performance of this technique is comparable to other conventional approaches, such as neural network and support vector machine, with the added advantage of the clear interpretability of the generated patterns, which are rules characterizing the faults' types. To demonstrate its merit in fault diagnosis, the technique is used in the detection and identification of faults in power transformers using dissolved gas analysis data. The paper reaches the conclusion that the multi-class LAD based fault detection and identification is a promising diagnostic approach in CBM.

Journal ArticleDOI
TL;DR: A model-based fault diagnosis and prognosis scheme for a vehicle steering system to predict the remaining useful life of faulty components and a new adaptive hybrid differential evolution algorithm with less control parameters is presented.
Abstract: This paper presents a model-based fault diagnosis and prognosis scheme for a vehicle steering system The steering system is modeled as a hybrid system with continuous dynamics and discrete modes using the hybrid bond graph tool Multiple faults of different types, ie, abrupt fault, incipient fault, and intermittent fault, are considered using the concept of Augmented Global Analytical Redundancy Relations (AGARRs) A fault discriminator is constructed to distinguish the type of faults once they are detected After that, a fault identification scheme is proposed to estimate the magnitude of abrupt faults, the characteristic of intermittent faults, and the degradation behavior of incipient faults The fault identification is realized by using a new adaptive hybrid differential evolution (AHDE) algorithm with less control parameters Based on the identified degradation behavior of incipient faults, prognosis is carried out to predict the remaining useful life of faulty components The proposed algorithm is verified experimentally on the steering system of a CyCab electric vehicle

Journal ArticleDOI
TL;DR: A simple yet accurate stationary reference frame q-d-0 model of SIT-faulted induction machine, including the fault location parameter, is developed and the steady-state analysis indicates that the inclination of the current vector locus and the phase angle of the negative-sequence current phasor are the strong indicators of the SIT fault location.
Abstract: Locating the stator interturn (SIT) fault on the motor winding structure adds an important feature in the fault diagnosis. This motivates to study the effects of SIT fault location on the induction machine. In this paper, a simple yet accurate stationary reference frame q-d-0 model of SIT-faulted induction machine, including the fault location parameter, is developed. The fundamental components of winding functions (WFs) are used to calculate the machine inductances for the proposed model. These inductances in stationary reference frame q-d-0 variables are rotor-position-independent expressions and functions of fault severity and fault location. The proposed model is as accurate as the multiple-coupled-circuit model for fault location study. It does not require the recalculation of machine inductances in each integration step to solve the model. The experimental validation of the model is presented. The steady-state analysis based on the proposed model indicates that the inclination of the current vector locus and the phase angle of the negative-sequence current phasor are the strong indicators of the SIT fault location.

Proceedings ArticleDOI
13 Dec 2014
TL;DR: A compiler-based approach that takes advantage of soft computations inherent in the aforementioned class of workloads to bring down the cost of software-only transient fault detection and reduces the number of silent data corruptions.
Abstract: A growing number of applications from various domains such as multimedia, machine learning and computer vision are inherently fault tolerant. However, for these soft workloads, not all computations are fault tolerant (e.g., a loop trip count). In this paper, we propose a compiler-based approach that takes advantage of soft computations inherent in the aforementioned class of workloads to bring down the cost of software-only transient fault detection. The technique works by identifying a small subset of critical variables that are necessary for correct macro-operation of the program. Traditional duplication and comparison are used to protect these variables. For the remaining variables and temporaries that only affect the micro-operation of the program, strategic expected value checks are inserted into the code. Intuitively, a computation-chain result near the expected value is either correct or close enough to the correct result so that it does not matter for non-critical variables. Overall, the proposed solution has, on average, only 19.5% performance overhead and reduces the number of silent data corruptions from 15% down to 7.3% and user-visible silent data corruptions from 3.4% down to 1.2% in comparison to an unmodified application. This unacceptable silent data corruption rate is even lower than a traditional full duplication scheme that has, on average, 57% overhead.

Journal ArticleDOI
TL;DR: A new technique for detecting turn-to-turn short-circuit faults in one or two stator phases of an induction motor using the extracted features from the corresponding three-phase current pattern in 3-D space, capable of detecting a phase- to-ground fault.
Abstract: The main objective of this paper is to develop a new technique for detecting turn-to-turn short-circuit faults in one or two stator phases of an induction motor. Hence, modeling a turn-to-turn short-circuit fault in more than one phase and a phase-to-ground fault is the first novelty of this paper for calculating phase currents under faulty conditions. This strategy uses the extracted features from the corresponding three-phase current pattern in 3-D space. Identification of faulty phases and of the severity of the fault is the outcome of this technique. This technique is also capable of detecting a phase-to-ground fault. This method just requires current sensors that are available in most drive systems to provide good controllability, and details of the machine design are not necessary. Experimental results are included to show the ability of the proposed strategy for detecting and locating phase/phases under different faults and load conditions.