scispace - formally typeset
Search or ask a question

Showing papers on "Thin-film transistor published in 2014"


Journal ArticleDOI
TL;DR: The growth of a highly aligned meta-stable structure of 2,7-dioctyl[1]benzothieno[3,2-b][1] Benzothiophene (C8-BTBT) is described from a blended solution of C8- BTBT and polystyrene by using a novel off-centre spin-coating method, indicating their potential for transparent, high-performance organic electronics.
Abstract: One of the advantages of organic over inorganic semiconductors is they can be grown from solution, but their electrical mobility is often poor. Yuan et al. report a technique for fabricating organic transistors with mobilities far beyond that of amorphous silicon and close to that of polycrystalline silicon.

1,130 citations


Journal ArticleDOI
TL;DR: To the best of the knowledge, this is the first report of all 2D transparent TFT fabricated on flexible substrate along with the highest mobility and current ON-OFF ratio.
Abstract: In this article, we report only 10 atomic layer thick, high mobility, transparent thin film transistors (TFTs) with ambipolar device characteristics fabricated on both a conventional silicon platform as well as on a flexible substrate. Monolayer graphene was used as metal electrodes, 3–4 atomic layers of h-BN were used as the gate dielectric, and finally bilayers of WSe2 were used as the semiconducting channel material for the TFTs. The field effect carrier mobility was extracted to be 45 cm2/(V s), which exceeds the mobility values of state of the art amorphous silicon based TFTs by ∼100 times. The active device stack of WSe2–hBN–graphene was found to be more than 88% transparent over the entire visible spectrum and the device characteristics were unaltered for in-plane mechanical strain of up to 2%. The device demonstrated remarkable temperature stability over 77–400 K. Low contact resistance value of 1.4 kΩ-μm, subthreshold slope of 90 mv/decade, current ON–OFF ratio of 107, and presence of both electr...

327 citations


Journal ArticleDOI
TL;DR: In this article, the performance of p-and n-type conducting polymer and small molecule organic semiconductors are reviewed primarily in terms of field effect mobility, current on/off ratio, and operating voltage for various OTFT structures.
Abstract: Organic thin film transistor (OTFT) based device modeling and circuit application is a rapidly emerging research area. Taking cognizance of this fact, our paper reviews various basic to advanced OTFT structures, their performance parameters, materials of individual OTFT layers, their molecular structures, OTFT charge transport phenomena, and fabrication techniques. The performance of p- and n-type conducting polymer and small molecule organic semiconductors are reviewed primarily in terms of field effect mobility, current on/off ratio, and operating voltage for various OTFT structures. Moreover, different organic/inorganic materials for realizing the dielectric layer, electrodes, and the substrate in an OTFT are analyzed. Some of the compact models that are essential for predicting and optimizing the device performance are described that takes into account the mobility enhancement factor and channel length modulation. A detailed study of the single gate, dual gate, vertical channel, and cylindrical gate O...

268 citations


Journal ArticleDOI
TL;DR: In this paper, a thin transparent nanopaper-based high-mobility organic thin-film transistor (OTFT) array is demonstrated for the first time on cellulose nanofiber paper.
Abstract: Eco-friendly and low-cost cellulose nanofiber paper (nanopaper) is a promising candidate as a novel substrate for flexible electron device applications. Here, a thin transparent nanopaper-based high-mobility organic thin-film transistor (OTFT) array is demonstrated for the first time. Nanopaper made from only native wood cellulose nanofibers has excellent thermal stability (>180 °C) and chemical durability, and a low coefficient of thermal expansion (CTE: 5–10 ppm K-1). These features make it possible to build an OTFT array on nanopaper using a similar process to that for an array on conventional glass. A short-channel bottom-contact OTFT is successfully fabricated on the nanopaper by a lithographic and solution-based process. Owing to the smoothness of the cast-coated nanopaper surface, a solution processed organic semiconductor film on the nanopaper comprises large crystalline domains with a size of approximately 50–100 μm, and the corresponding TFT exhibits a high hole mobility of up to 1 cm2V-1 s-1 and a small hysteresis of below 0.1 V under ambient conditions. The nanopaper-based OTFT also had excellent flexibility and can be formed into an arbitrary shape. These combined technologies of low-cost and eco-friendly paper substrates and solution-based organic TFTs are promising for use in future flexible electronics application such as flexible displays and sensors.

252 citations


Journal ArticleDOI
TL;DR: This work investigated the effect of capillary number, semiconductor ink composition (small molecule-polymer ratio), and additive high boiling point solvent concentrations on film fidelity, pattern design, device performance and yields.
Abstract: A combination of surface energy-guided blade coating and inkjet printing is used to fabricate an all-printed high performance, high yield, and low variability organic thin film transistor (OTFT) array on a plastic substrate. Functional inks and printing processes were optimized to yield self-assembled homogenous thin films in every layer of the OTFT stack. Specifically, we investigated the effect of capillary number, semiconductor ink composition (small molecule-polymer ratio), and additive high boiling point solvent concentrations on film fidelity, pattern design, device performance and yields.

212 citations


Journal ArticleDOI
TL;DR: In this paper, the authors summarize solution-processed oxide thin-film transistors (TFTs) researches based on their fulfillments and present low temperature process for the adoption of flexible devices.
Abstract: In this review, we summarize solution-processed oxide thin-film transistors (TFTs) researches based on our fulfillments. We describe the fundamental studies of precursor composition effects at the beginning in order to figure out the role of each component in oxide semiconductors, and then present low temperature process for the adoption of flexible devices. Moreover, channel engineering for high performance and reliability of solution-processed oxide TFTs and various coating methods: spin-coating, inkjet printing, and gravure printing are also presented. The last topic of this review is an overview of multi-functional solution-processed oxide TFTs for various applications such as photodetector, biosensor, and memory.

205 citations


Journal ArticleDOI
TL;DR: The authors' Al2O3/TiO2 NL films were found to exhibit excellent water anticorrosion and low gas permeation and require only low-temperature processing (<100 °C) and organic thin film transistors with excellent air-stability were fabricated.
Abstract: Organic electronic devices require a passivation layer that protects the active layers from moisture and oxygen because most organic materials are very sensitive to such gases. Passivation films for the encapsulation of organic electronic devices need excellent stability and mechanical properties. Although Al2O3 films obtained with plasma enhanced atomic layer deposition (PEALD) have been tested as passivation layers because of their excellent gas barrier properties, amorphous Al2O3 films are significantly corroded by water. In this study, we examined the deformation of PEALD Al2O3 films when immersed in water and attempted to fabricate a corrosion-resistant passivation film by using a PEALD-based Al2O3/TiO2 nanolamination (NL) technique. Our Al2O3/TiO2 NL films were found to exhibit excellent water anticorrosion and low gas permeation and require only low-temperature processing (<100 °C). Organic thin film transistors with excellent air-stability (52 days under high humidity (a relative humidity of 90% a...

173 citations


Journal ArticleDOI
TL;DR: Novel structure-engineered amorphous oxide semiconductor thin-film transistors using a solution process to overcome the trade-off between high mobility and other parameters are proposed.
Abstract: Novel structure-engineered amorphous oxide semiconductor thin-film transistors using a solution process to overcome the trade-off between high mobility and other parameters (i.e., on/off ratio, sub-threshold voltage swing, threshold voltage, and so on) are proposed. High performance confining structure-engineered AOS TFTs are successfully demonstrated, which utilize a specially designed layer with ultra-high density and high electron mobility.

168 citations


Journal ArticleDOI
TL;DR: A method for synthesizing large-area and uniform molybdenum disulfide films, with control over the layer number, on insulating substrates using a gas phase sulfuric precursor (H2S) and a molyBdenum metal source is described.
Abstract: We describe a method for synthesizing large-area and uniform molybdenum disulfide films, with control over the layer number, on insulating substrates using a gas phase sulfuric precursor (H2S) and a molybdenum metal source. The metal layer thickness was varied to effectively control the number of layers (2 to 12) present in the synthesized film. The films were grown on wafer-scale Si/SiO2 or quartz substrates and displayed excellent uniformity and a high crystallinity over the entire area. Thin film transistors were prepared using these materials, and the performances of the devices were tested. The devices displayed an on/off current ratio of 105, a mobility of 0.12 cm2 V−1 s−1 (mean mobility value of 0.07 cm2 V−1 s−1), and reliable operation.

166 citations


Journal ArticleDOI
TL;DR: In this article, a charge-plasma concept is employed to induce n-region for the formation of source and drain for a n-channel junctionless transistor using appropriate metal work function electrodes.
Abstract: In this letter, we report for the first time a distinctive approach of implementing a junctionless transistor (JLT) without doping (doping-less) the ultrathin silicon film. A charge-plasma concept is employed to induce n-region for the formation of source and drain for a n-channel JLT using appropriate metal work function electrodes. Electrical characteristics of the proposed device are simulated and compared with that of a conventionally doped JLT of identical dimensions. In conventional JLTs, the channel doping concentration is generally kept high to ensure high ON-state current, but it causes variation in threshold voltage, which may be due to process variations. The proposed device solves the problem of threshold voltage variability without affecting inherent advantages of JLTs.

162 citations


Journal ArticleDOI
TL;DR: The results suggest that "aqueous-route" In2O3 TFTs based on a solution-processed ZrOx dielectric could potentially be used for low-cost, low-temperature-processing, high-performance, and flexible devices.
Abstract: We reported here “aqueous-route” fabrication of In2O3 thin-film transistors (TFTs) using an ultrathin solution-processed ZrOx dielectric thin film. The formation and properties of In2O3 thin films under various annealing temperatures were intensively examined by thermogravimetric analysis, Fourier transform infrared spectroscopy, and atomic force microscopy. The solution-processed ZrOx thin film followed by sequential UV/ozone treatment and low-temperature thermal-annealing processes showed an amorphous structure, a low leakage-current density (∼1 × 10–9 A/cm2 at 2 MV/cm), and a high breakdown electric field (∼7.2 MV/cm). On the basis of its implementation as the gate insulator, the In2O3 TFTs based on ZrOx annealed at 250 °C exhibit an on/off current ratio larger than 107, a field-effect mobility of 23.6 cm2/V·s, a subthreshold swing of 90 mV/decade, a threshold voltage of 0.13 V, and high stability. These promising properties were obtained at a low operating voltage of 1.5 V. These results suggest that ...

Patent
31 Mar 2014
TL;DR: In this paper, a pixel circuit is proposed to prevent a spread of the terminal voltages of drive transistors inside a panel and in turn reliably prevent deterioration of uniformity, where pixel circuit lines are connected by an upper line and bottom line and are arranged in parallel with pixel circuit power source voltage lines so as not to have intersecting parts.
Abstract: A pixel circuit able to prevent a spread of the terminal voltages of drive transistors inside a panel and in turn able to reliably prevent deterioration of uniformity, wherein a source of a TFT serving as a drive transistor is connected to an anode of a light emitting element, a drain is connected to a power source potential, a capacitor is connected between a gate and source of the TFT, and a source potential of the TFT is connected to a fixed potential through a TFT serving as a switch transistor and wherein pixel circuit lines are connected by an upper line and bottom line and are arranged in parallel with pixel circuit power source voltage lines so as not to have intersecting parts.

Journal ArticleDOI
TL;DR: Amorphous oxide semiconductor (AOS) thin-film transistors (TFTs) invented only one decade ago are now being commercialized for active-matrix liquid crystal display (AMLCD) backplane applications.
Abstract: Amorphous oxide semiconductor (AOS) thin-film transistors (TFTs) invented only one decade ago are now being commercialized for active-matrix liquid crystal display (AMLCD) backplane applications. They also appear to be well positioned for other flat-panel display applications such as active-matrix organic light-emitting diode (AMOLED) applications, electrophoretic displays, and transparent displays. The objectives of this contribution are to overview AOS materials design; assess indium gallium zinc oxide (IGZO) TFTs for AMLCD and AMOLED applications; identify several technical topics meriting future scrutiny before they can be confidently relied upon as providing a solid scientific foundation for underpinning AOS TFT technology; and briefly speculate on the future of AOS TFTs for display and non-display applications.

Journal ArticleDOI
TL;DR: A new design of highly flexible vertical TFTs (VTFTs) with superior electrical performance and mechanical robustness is reported, using the graphene as a work-function tunable contact for amorphous indium gallium zinc oxide (IGZO) thin film.
Abstract: Flexible thin-film transistors (TFTs) are of central importance for diverse electronic and particularly macroelectronic applications. The current TFTs using organic or inorganic thin film semiconductors are usually limited by either poor electrical performance or insufficient mechanical flexibility. Here, we report a new design of highly flexible vertical TFTs (VTFTs) with superior electrical performance and mechanical robustness. By using the graphene as a work-function tunable contact for amorphous indium gallium zinc oxide (IGZO) thin film, the vertical current flow across the graphene–IGZO junction can be effectively modulated by an external gate potential to enable VTFTs with a highest on–off ratio exceeding 105. The unique vertical transistor architecture can readily enable ultrashort channel devices with very high delivering current and exceptional mechanical flexibility. With large area graphene and IGZO thin film available, our strategy is intrinsically scalable for large scale integration of VTF...

Journal ArticleDOI
TL;DR: In this paper, the authors review the mechanisms underlying visible light detection based on phototransistors fabricated using amorphous oxide semiconductor technology and present a gate pulsing scheme enabling realistic frame rates for advanced applications such as sensor-embedded display for touch-free interaction.
Abstract: This paper reviews the mechanisms underlying visible light detection based on phototransistors fabricated using amorphous oxide semiconductor technology. Although this family of materials is perceived to be optically transparent, the presence of oxygen deficiency defects, such as vacancies, located at subgap states, and their ionization under illumination, gives rise to absorption of blue and green photons. At higher energies, we have the usual band-to-band absorption. In particular, the oxygen defects remain ionized even after illumination ceases, leading to persistent photoconductivity, which can limit the frame-rate of active matrix imaging arrays. However, the persistence in photoconductivity can be overcome through deployment of a gate pulsing scheme enabling realistic frame rates for advanced applications such as sensor-embedded display for touch-free interaction.

Journal ArticleDOI
TL;DR: The results show that sputter-CVD is a viable method to synthesize large-area, high-quality, and layer-controlled MoS2 that can be adapted in conventional Si-based microfabrication technology and future flexible,high-temperature, and radiation hard electronics/optoelectronics.
Abstract: Two-dimensional MoS2 is a promising material for next-generation electronic and optoelectronic devices due to its unique electrical and optical properties including the band gap modulation with film thickness. Although MoS2 has shown excellent properties, wafer-scale production with layer control from single to few layers has yet to be demonstrated. The present study explored the large-scale and thickness-modulated growth of atomically thin MoS2 on Si/SiO2 substrates using a two-step sputtering–CVD method. Our process exhibited wafer-scale fabrication and successful thickness modulation of MoS2 layers from monolayer (0.72 nm) to multilayer (12.69 nm) with high uniformity. Electrical measurements on MoS2 field effect transistors (FETs) revealed a p-type semiconductor behavior with much higher field effect mobility and current on/off ratio as compared to previously reported CVD grown MoS2-FETs and amorphous silicon (a-Si) thin film transistors. Our results show that sputter–CVD is a viable method to synthes...

Journal ArticleDOI
TL;DR: In this paper, the role of high-κ dielectrics in device performance was systematically studied and a simple and environmentally friendly spin-coating method was developed for highκ Dielectrics (AlOx, ZrOx, YOx and TiOx).
Abstract: We developed a simple and environmentally friendly spin-coating method for high-κ dielectrics (AlOx, ZrOx, YOx and TiOx). These materials were used as gate dielectrics for solution-processed nanocrystalline In2O3 or amorphous InZnO TFTs with a maximum processing temperature of 300 °C. The role of high-κ dielectrics in device performance was systematically studied. Among the high-κ dielectrics, the AlOx-based devices showed the best performance with mobilities of 21.7 cm2 V−1 s−1 in an In2O3 TFT and 11.6 cm2 V−1 s−1 in an InZnO TFT with the on/off current ratio exceeding 106. Furthermore, the devices exhibited ultra-low operating voltages (<3 V) and negligible hysteresis. A comprehensive study suggests that the high performance of the AlOx-based devices could be attributed to the smooth dielectric/semiconductor interface and the low interface trap density besides its good insulating properties. Therefore, the solution-processed AlOx can be used as a promising high-κ dielectric for low cost, low voltage, high-performance oxide electronic devices.

Journal ArticleDOI
TL;DR: In this article, the authors present a deep insight into different organic/inorganic materials used for the dielectric layer, electrodes and substrate for thin film transistors (TFTs).
Abstract: This paper reviews recent advancements in the field of organic electronics. Performance of p- and n-type conducting polymers and small molecule organic semiconductors is presented primarily in terms of mobility and current on/off ratio. Moreover, it presents a deep insight into different organic/inorganic materials used for the dielectric layer, electrodes and substrate for thin film transistors (TFTs). The electrical characteristics and performance parameters of single and dual gate structures are compared. In addition, performance dependence of organic TFT (OTFT) is discussed on the basis of contact resistance, channel length and thickness of the active layer. The paper thoroughly discusses several important applications of OTFTs including inverter, organic static random access memory, radio frequency identification tag and DNA sensors. It also includes several limitations and future prospects of organic electronics technology.

Journal ArticleDOI
01 Sep 2014-Optik
TL;DR: In this article, a transparent nano composite PVA and PMMA-TiO2 thin films were prepared by an easy and cost effective dip coating method, which indicated that these films could be used in optoelectronic devices and in thin film transistors.

Journal ArticleDOI
TL;DR: In this article, the authors describe a method for the room-temperature printing of electronics, which allows thin-fi lm electronic devices to be printed at room temperature without the application of heat.
Abstract: Printing semiconductor devices under ambient atmospheric conditions is a promising method for the large-area, low-cost fabrication of flelectronic products. However, processes conducted at temperatures greater than 150 °C are typically used for printed electronics, which prevents the use of common fl exible substrates because of the distortion caused by heat. The present report describes a method for the room-temperature printing of electronics, which allows thin-fi lm electronic devices to be printed at room temperature without the application of heat. The development of π-junction gold nanoparticles as the electrode material permits the room-temperature deposition of a conductive metal layer. Room-temperature patterning methods are also developed for the Au ink electrodes and an active organic semiconductor layer, which enables the fabrication of organic thin-fi lm transistors through room-temperature printing. The transistor devices printed at room temperature exhibit average fi eld-effect mobilities of 7.9 and 2.5 cm 2 V −1 s −1 on plastic and paper substrates, respectively. These results suggest that this fabrication method is very promising as a core technology for low-cost and high-performance printed electronics.

Journal ArticleDOI
TL;DR: In this paper, the authors focus on recent progress in applying electroceramic materials for oxide-semiconductor thin-film transistors, and discuss current issues impacting oxide-semiconductor TFTs, such as field effect mobility and device stability.
Abstract: The flat panel display (FPD) market has been experiencing a rapid transition from liquid crystal (LC) to organic light emitting diode (OLED) displays, leading, in turn, to the accelerated commercialization of OLED televisions already in 2013. The major driving force for this rapid change was the adaptation of novel oxide semiconductor materials as the active channel layer in thin film transistors (TFTs). Since the report of amorphous-InGaZnO (a-IGZO) semiconductor materials in 2004, the FPD industry has accelerated the development of oxide TFTs for mass-production. In this review, we focus on recent progress in applying electro-ceramic materials for oxide-semiconductor thin-film-transistors. First, oxide-based semiconductor materials, distinguished by vacuum or solution processing, are discussed, with efforts to develop high-performance, cost-effective devices reviewed in chronological order. The introduction and role of high dielectric constant - reduced leakage gate insulators, in optimizing oxide-semiconductor device performance, are next covered. We conclude by discussing current issues impacting oxide-semiconductor TFTs, such as field effect mobility and device stability and the proposed directions being taken to address them.

Journal ArticleDOI
TL;DR: This work explores the solution combustion synthesis and reports on a completely new and green route for the preparation of amorphous aluminum oxide thin films; introducing water as solvent.
Abstract: Solution processing has been recently considered as an option when trying to reduce the costs associated with deposition under vacuum. In this context, most of the research efforts have been centered in the development of the semiconductors processes nevertheless the development of the most suitable dielectrics for oxide based transistors is as relevant as the semiconductor layer itself. In this work we explore the solution combustion synthesis and report on a completely new and green route for the preparation of amorphous aluminum oxide thin films; introducing water as solvent. Optimized dielectric layers were obtained for a water based precursor solution with 0.1 M concentration and demonstrated high capacitance, 625 nF cm(-2) at 10 kHz, and a permittivity of 7.1. These thin films were successfully applied as gate dielectric in solution processed gallium-zinc-tin oxide (GZTO) thin film transistors (TFTs) yielding good electrical performance such as subthreshold slope of about 0.3 V dec(-1) and mobility above 1.3 cm2 V(-1) s(-1).

Journal ArticleDOI
Genmao Huang1, Lian Duan1, Guifang Dong1, Deqiang Zhang1, Yong Qiu1 
TL;DR: High-mobility TFTs based on SnO2 active layer derived from a soluble tin(II) 2-ethylhexanoate precursor are reported that work in enhancement mode at low voltages less than 4 V, which sheds light on their potential application to the next-generation low-cost active matrix flat panel displays.
Abstract: Solution-processed metal oxide thin-film transistors (TFTs) operating in enhancement mode are promising for the next-generation flat panel displays. In this work, we report high-mobility TFTs based on SnO2 active layer derived from a soluble tin(II) 2-ethylhexanoate precursor. Densely packed polycrystalline SnO2 thin films with moderate oxygen vacancies and only a few hydroxides are obtained via systemically optimizing precursor concentrations and processing conditions. The utilization of a solution-processed high-κ Al2O3 insulating layer could generate a coherent dielectric/semiconductor interface, hence further improving the device performance. TFT devices with an average field-effect mobility of 96.4 cm2 V–1 s–1, a current on/off ratio of 2.2 × 106, a threshold voltage of 1.72 V, and a subthreshold swing of 0.26 V dec–1 have been achieved, and the driving capability is demonstrated by implementing a single SnO2 TFT device to tune the brightness of an organic light-emitting diode. It is worth noting tha...

Patent
Hiroyuki Uchiyama1
27 Feb 2014
TL;DR: In this article, a surface treatment using sulfur or selenium atoms as an oxygen group element or a compound thereof was proposed to suppress the threshold potential shift and the leak current in the characteristics of a thin film transistor.
Abstract: Oxygen defects formed at the boundary between the zinc oxide type oxide semiconductor and the gate insulator are terminated by a surface treatment using sulfur or selenium as an oxygen group element or a compound thereof, the oxygen group element scarcely occurring physical property value change Sulfur or selenium atoms effectively substitute oxygen defects to prevent occurrence of electron supplemental sites by merely applying a gas phase or liquid phase treatment to an oxide semiconductor or gate insulator with no remarkable change on the manufacturing process As a result, this can attain the suppression of the threshold potential shift and the leak current in the characteristics of a thin film transistor

Journal ArticleDOI
TL;DR: In this article, a two-dimensional Heterojunction Interlayer Tunneling Field Effect Transistor (Thin-TFET) is proposed and the tunneling current is calculated by using a formalism based on the Bardeen's transfer Hamiltonian, and including a semi-classical treatment of scattering and energy broadening effects.
Abstract: The single particle tunneling in a vertical stack consisting of monolayers of two-dimensional semiconductors is studied theoretically, and its application to a novel Two-dimensional Heterojunction Interlayer Tunneling Field Effect Transistor (Thin-TFET) is proposed and described. The tunneling current is calculated by using a formalism based on the Bardeen's transfer Hamiltonian, and including a semi-classical treatment of scattering and energy broadening effects. The misalignment between the two 2D materials is also studied and found to influence the magnitude of the tunneling current but have a modest impact on its gate voltage dependence. Our simulation results suggest that the Thin-TFETs can achieve very steep subthreshold swing, whose lower limit is ultimately set by the band tails in the energy gaps of the 2D materials produced by energy broadening. The Thin-TFET is thus very promising as a low voltage, low energy solid state electronic switch.

Journal ArticleDOI
TL;DR: In this article, the authors reported high performance amorphous In2O3/InZnO bilayer metal-oxide (BMO) thin-film transistor (TFT) using an ultra-thin solution-processed Amorphous ZrOx dielectric.
Abstract: In this study, we report high-performance amorphous In2O3/InZnO bilayer metal-oxide (BMO) thin-film transistor (TFT) using an ultra-thin solution-processed amorphous ZrOx dielectric. A thin layer of In2O3 offers a higher carrier concentration, thereby maximizing the charge accumulation and yielding high carrier mobility. A thick amorphous layer of InZnO controls the charge conductance resulting in low off-state current and suitable threshold voltage. As a consequence, the BMO TFT showed higher filed-effect mobility (37.9 cm2/V s) than single-layer InZnO TFT (7.6 cm2/V s). Apart from that we obtain an on/off current ratio of 109, a subthreshold swing voltage of 120 mV/decade, and a voltage shift ≤ 0.4 V under positive bias stress for 2.5 h, for a gate voltage of 3 V and drain voltage of 1 V. These data demonstrate that the BMO TFT has great potential for a broad range of applications as switching low-power transistors.

Journal ArticleDOI
TL;DR: In this paper, the electronic transport properties of CVD-grown molybdenum disulfide (MoS2) field effect transistors (FETs) on Si/SiO2 substrates were investigated.
Abstract: We report on the electronic transport properties of single-layer thick chemical vapor deposition (CVD) grown molybdenum disulfide (MoS2) field-effect transistors (FETs) on Si/SiO2 substrates. MoS2 has been extensively investigated for the past two years as a potential semiconductor analogue to graphene. To date, MoS2 samples prepared via mechanical exfoliation have demonstrated field-effect mobility values which are significantly higher than that of CVD-grown MoS2. In this study, we will show that the intrinsic electronic performance of CVD-grown MoS2 is equal or superior to that of exfoliated material and has been possibly masked by a combination of interfacial contamination on the growth substrate and residual tensile strain resulting from the high-temperature growth process. We are able to quantify this strain in the as-grown material using pre- and post-transfer metrology and microscopy of the same crystals. Moreover, temperature-dependent electrical measurements made on as-grown and transferred MoS2 devices following an identical fabrication process demonstrate the improvement in field-effect mobility.

Journal ArticleDOI
TL;DR: It is suggested that a-IGZO thin films have potential applications in resistive random access memory and the low temperature photochemical solution deposition method can find the opportunity for further achieving system on panel applications if the a-igZO resistive switching cells were integrated with a- IGZOthin film transistors.
Abstract: We report on highly uniform resistive switching properties of amorphous InGaZnO (a-IGZO) thin films. The thin films were fabricated by a low temperature photochemical solution deposition method, a simple process combining chemical solution deposition and ultraviolet (UV) irradiation treatment. The a-IGZO based resistive switching devices exhibit long retention, good endurance, uniform switching voltages, and stable distribution of low and high resistance states. Electrical conduction mechanisms were also discussed on the basis of the current-voltage characteristics and their temperature dependence. The excellent resistive switching properties can be attributed to the reduction of organic- and hydrogen-based elements and the formation of enhanced metal-oxide bonding and metal-hydroxide bonding networks by hydrogen bonding due to UV irradiation, based on Fourier-transform-infrared spectroscopy, X-ray photoelectron spectroscopy, and Field emission scanning electron microscopy analysis of the thin films. This study suggests that a-IGZO thin films have potential applications in resistive random access memory and the low temperature photochemical solution deposition method can find the opportunity for further achieving system on panel applications if the a-IGZO resistive switching cells were integrated with a-IGZO thin film transistors.

Journal ArticleDOI
TL;DR: Thin film transistors fabricated by solution processing of sol-gel oxide semiconductor precursors in the group In-Ga-Zn are described and the TFT mobility, threshold voltage, and bias stress properties are discussed in terms of the formation of self-compensated donor and acceptor states.
Abstract: Thin film transistors (TFTs) fabricated by solution processing of sol–gel oxide semiconductor precursors in the group In–Ga–Zn are described. The TFT mobility varies over a wide range depending on the precursor materials, the composition, and the processing variables, with the highest mobility being about 30 cm2/(V s) for IZO and 20 cm2/(V s) for IGZO. The positive dark bias stress effect decreases markedly as the mobility increases and the high mobility devices are quite stable. The negative bias illumination stress effect is also weaker in the higher mobility TFTs, and some different characteristic properties are observed. The TFT mobility, threshold voltage, and bias stress properties are discussed in terms of the formation of self-compensated donor and acceptor states, based on the chemistry and thermodynamics of the sol–gel process.

Journal ArticleDOI
TL;DR: A high mobility is obtained by thin-film transistors comprising a composite made by aligning SnO2 nanowires in amorphous InGaZnO (a-IGZO) thin films that is comparable with that of polycrystalline silicon.
Abstract: A high mobility of 109.0 cm(2) V(-1) s(-1) is obtained by thin-film transistors (TFTs) comprising a composite made by aligning SnO2 nanowires (NWs) in amorphous InGaZnO (a-IGZO) thin films. This composite TFT reaches an on-current density of 61.4 μA μm(-1) with a 10 μm channel length. Its performance surpasses that of single-crystalline InGaZnO and is comparable with that of polycrystalline silicon.