scispace - formally typeset
Search or ask a question

Showing papers by "Peter A. Beerel published in 2013"


Proceedings ArticleDOI
18 Nov 2013
TL;DR: Experimental results on a new benchmark of circuits show a significant savings of slack matching buffers compared with the traditional approach and illuminate the type of circuits for which this new formulation is most beneficial.
Abstract: This paper addresses the problem of slack matching conditional asynchronous circuits for average-case performance. The behavior of the circuit is modeled using a Markov chain which governs switching between distinct modes of operations with potentially different performance requirements. Given the probability of mode switchings and desired cycle times for each mode, a minimum number of slack-matching buffers is inserted into the circuit such that an upper bound on the overall average cycle time is achieved. The problem is formulated as a Mixed Integer Linear Program and solved through relaxation. Experimental results on a new benchmark of circuits show a significant savings of slack matching buffers compared with the traditional approach and illuminate the type of circuits for which this new formulation is most beneficial.

16 citations


Proceedings ArticleDOI
19 May 2013
TL;DR: The bounds are derived using linear programming based on the probability matrix of the Markov chain and can be incorporated in performance-aware optimization of conditional asynchronous circuits.
Abstract: This paper presents accurate performance bounds for conditional asynchronous circuits which demonstrate mode-based behavior. Analyzing the performance of these circuits is challenging as the critical paths cannot be identified without knowing the exact sequence of modes of operations which is generally unknown during design time. We used Markov chain processes to model mode switching and unique choice Petri nets to model performance. We adopt a performance analysis scheme based on decomposing the behavior of the Petri net into marked graph components to reason about performance. The bounds are derived using linear programming based on the probability matrix of the Markov chain and can be incorporated in performance-aware optimization of conditional asynchronous circuits. To evaluate the accuracy of the bounds, the theory is applied to a new set of benchmark circuits that includes randomly generated conditional circuits (ISCAS89a) and an industrially-inspired example.

6 citations