scispace - formally typeset
T

Tak-Yung Kim

Researcher at Seoul National University

Publications -  6
Citations -  163

Tak-Yung Kim is an academic researcher from Seoul National University. The author has contributed to research in topics: Digital clock manager & Network topology. The author has an hindex of 5, co-authored 6 publications receiving 163 citations.

Papers
More filters
Proceedings ArticleDOI

Clock tree embedding for 3D ICs

TL;DR: An algorithm, called ZCTE-3D, for solving the zero skew clock tree embedding problem in 3D ICs for a given tree topology, which is able to reduce the number of TSVs by 10% on average even with 4% shorter wirelength and 2% reduced delay.
Proceedings ArticleDOI

Clock tree synthesis with pre-bond testability for 3D stacked IC designs

TL;DR: This paper proposes comprehensive solutions to the clock tree synthesis problem that provides pre-bond testability for 3D IC designs by using much less buffer resources and completely removing the transmission gate control lines by using a specially designed component called self controlled clock transmission gate (SCCTG).
Journal ArticleDOI

Clock Tree synthesis for TSV-based 3D IC designs

TL;DR: Through experimentation, it is confirmed that the clock tree synthesis flow using the proposed algorithms is very effective, outperforming the existing 3Dclock tree synthesis in terms of the number of TSVs, total wirelength, and clock power consumption.
Proceedings ArticleDOI

Bounded skew clock routing for 3D stacked IC designs: Enabling trade-offs between power and clock skew

TL;DR: This paper addresses a bounded skew clock routing problem in 3D stacked IC designs to enable effective trade-offs between power and clock skew and proposes an algorithm, called BSTDME-3D (bounded skew clock tree with deffered merge embedding for 3D ICs), to solve this problem.
Journal ArticleDOI

Post Silicon Management of On-Package Variation Induced 3D Clock Skew

TL;DR: In this article, the authors analyzed the on-package variation effect on 3D clock networks and showed the necessity of a post-silicon management method such as body biasing technique for the OPV induced three-dimensional clock skew control in 3D stacked IC designs.