scispace - formally typeset
Search or ask a question

Showing papers in "Transactions on Electrical and Electronic Materials in 2009"


Journal ArticleDOI
TL;DR: In this paper, the effects of the growth temperature on the properties of ZnO thin films were investigated by using X-ray diffraction, scanning electron microscopy, ultraviolet-visible spectrophotometry, and Hall measurements.
Abstract: (Received October 14 2009, Revised November 30 2009, Accepted December 15 2009) The effects of the growth temperature on the properties of ZnO thin films were investigated by using X-ray diffraction, scanning electron microscopy, ultraviolet-visible spectrophotometry, and Hall measurements. The ZnO films were deposited by rf magnetron sputtering at various growth temperatures in the range of 100-400

64 citations


Journal ArticleDOI
Woon-Seop Choi1
TL;DR: In this paper, a comparative study of the growth of tin oxide films by ALD and chemical vapor deposition was reported, and the films were of the tetragonal rutile type for both cases.
Abstract: films have been fabricated using many different technologies, including sputtering [1], chemical vapor deposition [2], spray pyrolysis [3], and atomic layer deposition (ALD) [4], [5]. Amongst these ALD produces unique film properties compared with other methods. In particular dense films of high aspect ratio and fewer defects are achieved. ALD is also an ideal technology for the deposition of ultrathin films with high conformality and precise thickness control [6]. ALD is a dense thin film growth technology using an alternating self-controlled chemical process between the gas precursor and a solid surface in order to deposit materials in an atomic layer-by-layer fashion. This methodology can produce films with very precise control over film thickness and composition. This technology allows precise coatings to be applied on other surfaces of powder and porous materials. Plasma-enhanced ALD (PEALD) is a promising technology for obtaining high quality metal oxide films at relatively low temperatures compared with commercial CVD. Interestingly, the comparative study of the growth of tin oxide films by ALD and chemical vapor deposition was reported [7]. The films were of the tetragonal rutile-type for both cases. Thin films grown by ALD were found to be close to being perfect single crystals, containing a low density of defects and were almost atomically smooth. The CVD films had a much rougher film morphology and exhibited both grain boundaries and twin formation. Tin oxide thin films have been fabricated previously by ALD using halogenated precursors, SnCl

33 citations


Journal ArticleDOI
TL;DR: In this article, the dielectric properties of the ceramic-polymer (Epoxy) composition as a function of ceramic particle size at a ceramic loading of 40 vol% were investigated.
Abstract: Ceramic-polymer composites have been investigated for their suitability as embedded capacitor materials because they combine the processing ability of polymers with the desired dielectric properties of ceramics. This paper discusses the dielectric properties of the ceramic ()-polymer (Epoxy) composition as a function of ceramic particle size at a ceramic loading of 40 vol%. The dielectric constant of these ceramic-polymer composites increases as the powder size decreases. Results show that ceramic-polymer composites have a high dielectric constant associated with the powder with a 200 nm particle size, high insulation resistance, high breakdown voltage (> 22 KV/mm), and low dielectric loss (0.018-0.024) at 1 MHz.

32 citations



Journal ArticleDOI
TL;DR: In this article, the effect of ambient gases on the structural, electrical, and optical characteristics of ITO thin films intended for use as anode contacts in OLED (organic light emitting diodes) devices was investigated.
Abstract: We have investigated the effect of ambient gases on the structural, electrical, and optical characteristics of ITO thin films intended for use as anode contacts in OLED (organic light emitting diodes) devices. These ITO thin films are deposited by radio frequency (RF) magnetron sputtering under different ambient gases (Ar, Ar+O2, and Ar+H2) at 300°C. In order to investigate the influences of the oxygen and hydrogen, the flow rate of oxygen and hydrogen in argon mixing gas has been changed from 0.5 sccm to 5 sccm and from 0.01 sccm to 0.25 sccm, respectively. The intensity of the (400) peak in the ITO thin films increased with increasing O2, flow rate whilst the (400) peak was nearly invisible in an atmosphere of Ar+H2. The electrical resistivity of the ITO thin films increased with increasing O2 flow rate, whereas the electrical resistivity decreased sharply under an Ar+H2 atmosphere and was nearly similar regardless of the H2 flow rate. The change of electrical resistivity with changes in the ambient gas composition was mainly interpreted in terms of the charge carrier mobility rather than the charge carrier concentration. All the films showed an average transmittance of over 80% in the visible range. The OLED device was fabricated with different ITO substrates made with the configuration of ITO/α-NPD/DPVB/Alq3/LiF/Al in order to elucidate the performance of the ITO substrate. Current density and luminance of OLED devices with ITO thin films deposited in Ar+H2 ambient gas is the highest among all the ITO thin films. [DOI: 10.4313/TEEM.2009.10.6.203]

21 citations


Journal ArticleDOI
TL;DR: In this article, the electrical properties and the microstructure of nitrogen-doped poly 3C-SiC films used for micro thermal sensors were studied according to different thicknesses.
Abstract: The electrical properties and the microstructure of nitrogen-doped poly 3C-SiC films used for micro thermal sensors were studied according to different thicknesses. Poly 3C-SiC films were deposited by LPCVD (low pressure chemical vapor deposition) at 900C with a pressure of 4 torr using SiH2Cl2 (100%, 35 sccm) and C2H2 (5% in H2, 180 sccm) as the Si and C precursors, and NH3 (5% in H2, 64 sccm) as the dopant source gas. The resistivity of the poly SiC films with a 1,530 A thickness was 32.7 Ω-cm and decreased to 0.0129 Ω-cm at 16,963 A. The measurement of the resistance variations at different thicknesses were carried out within the 25C to 350C temperature range. While the size of the resistance variation decreased when the films thickness increased, the linearity of the resistance variation improved. Micro heaters and RTD sensors were fabricated on a Si3N4 membrane by using poly 3C-SiC with a 1um thickness using a surface MEMS process. The heating temperature of the SiC micro heater, fabricated on 250 μmx250 μm Si3N4 membrane was 410C at an 80 mW input power. These 3C-SiC heaters and RTD sensors, fabricated by surface MEMS, have a low power consumption and deliver a good long term stability for the various thermal sensors requiring thermal stability.

20 citations



Journal ArticleDOI
TL;DR: In this article, the reliability of multilayer ceramic capacitors with active thin dielectric layer was investigated by highly accelerated life test at various stress condition and the distribution of multi-layer ceramic capacitor failure times was plotted as a function of time from Weibull distribution function.
Abstract: The reliability of multilayer ceramic capacitor with active thin dielectric layer was investigated by highly accelerated life test at various stress condition. The distribution of multilayer ceramic capacitor failure times is plotted as a function of time from Weibull distribution function. According to the test result, voltage acceleration factor is obtained from 2.24 to 2.96. The acceleration by temperature is much higher than other values of active thick dielectric layer. It is clear that median time to failure is affected by the stress voltage for high volumetric efficiency ceramic capacitors with active thin dielectric layer. The degradation under stress of voltage involves electromigration and accumulation of oxygen vacancy at Ni electrode interface of cathode.

20 citations


Journal ArticleDOI
TL;DR: In this paper, the metal-insulator-metal (MIM) capacitors with 2000 A plasmaenhanced chemical vapor deposition (PECVD) silicon nitride which are deposited with the SiH were successfully developed and characterized for integrated passive device (IPD) applications.
Abstract: (Received September 1 2009, Revised October 12 2009, Accepted October 19 2009) For integrated passive device (IPD) applications, we have successfully developed and characterized metal-insulator-metal (MIM) capacitors with 2000 A plasma-enhanced chemical vapor deposition (PECVD) silicon nitride which are deposited with the SiH

19 citations


Journal ArticleDOI
TL;DR: In this paper, undoped ZnO thin films were in-situ annealed at for 5 minutes in ambient of with pressure of 10Torr, and the results showed that the undoped film has the highest carrier concentration of.
Abstract: N-doped ZnO thin films were deposited on n-type Si(100) and homo-buffer layer, and undoped ZnO thin film was also deposited on homo-buffer layer by RF magnetron sputtering method. After deposition, all films were in-situ annealed at for 5 minutes in ambient of with pressure of 10Torr. X -ray diffraction shows that the homo-buffer layer is beneficial to the crystalline of N-doped ZnO thin films and all films have preferable c-axis orientation. Atomic force microscopy shows that undoped ZnO thin film grown on homo-buffer layer has an evident improvement of smoothness compared with N-dope ZnO thin films. Hall-effect measurements show that all ZnO films annealed at possess p-type conductivities. The undoped ZnO film has the highest carrier concentration of . The photoluminescence spectra show the emissions related to FE, DAP and many defects such as , , and . The p-type defects (, , and ) are dominant. The undoped ZnO thin film has a better p-type conductivity compared with N-doped ZnO thin film.

16 citations


Journal ArticleDOI
TL;DR: In this article, the electrical stability of ZnO thin films was modified by a hydrogen annealing treatment and protection layer and the minimum resistivity was obtained at 2 mtorr, room temperature, and a power level of 150 W.
Abstract: The electrical stability of ZnO: Al thin films deposited on glass substrate by the RF magnetron sputtering method have been modified by a hydrogen annealing treatment and protection layer. AZO thin films were deposited at room temperature and different RF powers of 50, 100, 150, and 200 W to optimize the AZO film growth condition. The lowest value of resistivity of was obtained at 2 mtorr, room temperature, and a power level of 150 W. Then, the AZO thin films were annealed at for 1 h in hydrogen ambient. The minimum resistivity obtained was as-annealed at . The electrical properties were enhanced by the hydrogen annealing treatment. After a 72 h damp-heat treatment in harsh conditions of a water steam at for four representative samples, a degradation of electrical properties was observed. The sample of hydrogen-annealed AZO thin films with protection layer showed a slight degradation ratio(17%) of electrical properties and a preferable transmittance of 90%. The electrical stability of AZO thin films had been modified by hydrogen annealing treatment and protection layer.

Journal ArticleDOI
TL;DR: In this article, an e-beam evaporation of Sn and Cu metals on silicon substrates, followed by oxidation at high temperatures, showed more than a 90% relative resistance change when exposed to H2S gas at 1 ppm in air at an operating temperature of 350C and had a short response time of 8 sec.
Abstract: H2S micro-gas sensors have been developed employing SnO2:CuO composite thin films. The films were prepared by e-beam evaporation of Sn and Cu metals on silicon substrates, followed by oxidation at high temperatures. Results of various studies, such as scanning electron microscopy (SEM), X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS) reveal that SnO2 and CuO are mutually non-reactive. The CuO grains, which in turn reside in the inter-granular regions of SnO2, inhibit grain growth of SnO2 as well as forming a network of p-n junctions. The film showed more than a 90% relative resistance change when exposed to H2S gas at 1 ppm in air at an operating temperature of 350C and had a short response time of 8 sec.

Journal ArticleDOI
TL;DR: In this paper, a magnetron sputter plant equipped with two cathodes was used for the deposition of ITO/Cu/ITO layered films on an unheated PC substrate (10×10 cm 2 size, 100 µm thick).
Abstract: 0.5 cm thickness) and metal Cu target (99.9 purity, 7.62 cm diameter) in a magnetron sputter plant equipped with two cathodes. RF (13.56 MHz) and DC power were applied to ITO (purity; 99.99%) and Cu (purity; 99.99%) targets, respectively. The target was a 4-in diameter, 0.25-in thick, sintered In2O3 (90%) + SnO2 (10%) pure Cu disk. ITO/Cu/ITO layered films were deposited on an unheated PC substrate (10×10 cm 2 size, 100 µm thick). Although the PC substrate was not heated intentionally, the substrate temperature increased to 70oC during deposition. The substrate temperature was monitored using a k-type thermocouple directly in contact with the substrate surface. Figure 1 shows the experimental magnetron sputtering system. Prior to deposition, the chamber was evacuated to 1.3×10 -4

Journal ArticleDOI
TL;DR: In this paper, a microwave remote-plasma enhanced chemical vapor deposition at temperature of 175 C and various gas ratios for solar cell applications was performed and the good quality amorphous silicon films were formed at silane gas flow rates above 15 sccm.
Abstract: An intrinsic silicon thin film passivation layer is deposited by the microwave remote-plasma enhanced chemical vapor deposition at temperature of 175 C and various gas ratios for solar cell applications. The good quality amorphous silicon films were formed at silane (SiH4) gas flow rates above 15 sccm. The highest effective carrier lifetime was obtained at the SiH4 flow rate of 20 sccm and the value was about 3 times higher compared with the bulk lifetime of 5.6 μs at a fixed injection level of ∆n = 5×10 cm. An annealing treatment was performed and the carrier life times were increased approximately 5 times compared with the bulk lifetime. The optimal annealing temperature and time were obtained at 250 C and 60 sec respectively. This indicates that the combination of the deposition of an amorphous thin film at a low temperature and the annealing treatment contributes to the excellent surface and bulk passivation.

Journal ArticleDOI
TL;DR: In this paper, a 10-bit two-stage DAC was designed in two blocks, a unary cell matrix for 6 MSBs and a binary weighted array for 4 LSBs, for fabrication in a 0.35µm CMOS process.
Abstract: A simulation study of a 10-bit two-stage DAC was done by using a conventional current switch cell. The DAC adopts the segmented architecture in order to reduce the circuit complexity and the die area. The 10-bit CMOS DAC was designed in 2 blocks, a unary cell matrix for 6 MSBs and a binary weighted array for 4 LSBs, for fabrication in a 0.35-µm CMOS process. To cancel the accumulation of errors in each current cell, a symmetrical switching sequence is applied in the unary cell matrix for 6 MSBs. To ensure high-speed operation, a decoding circuit with one stage latch and a cascode current source were developed. Simulations show that the maximum power consumption of the 10-bit DAC is 74 mW with a sampling frequency of 100 MHz .

Journal ArticleDOI
TL;DR: The microstructure, voltage-current, capacitance-voltage, and dielectric characteristics of CCT doped Zn/Pr-based varistors were investigated at different sintering temperatures as mentioned in this paper.
Abstract: The microstructure, voltage-current, capacitance-voltage, and dielectric characteristics of CCT doped Zn/Pr-based varistors were investigated at different sintering temperatures. As the sintering temperature increased, the average grain size increased from 4.3 to 5.1 and the sintered density was saturated at 5.81 g . As the sintering temperature increased, the breakdown field decreased from 7,532 to 5,882 V and the nonlinear coefficient decreased from 46 to 34. As the sintering temperature increased, the donor density, density of interface states, and barrier height decreased in the range of (9.06-7.24), (3.05-2.56), and 1.1-0.95 eV, respectively. The dielectric constant exhibited relatively low value in the range of 529.1-610.3, whereas the exhibited a high value in the range of 0.0910-0.1053.

Journal ArticleDOI
TL;DR: ZnO varistors are multicomponent ceramic devices that exhibit highly nonlinear current voltage (I-V) characteristics and greater energy absorption capabilities as mentioned in this paper, and are essential fir surge protection devices and have dominated the market because of their highly non-linear characteristics.
Abstract: ZnO varistors are multicomponent ceramic devices that exhibit highly nonlinear current voltage (I-V) characteristics and greater energy absorption capabilities [1], [2] ZnO varistors are essential fir surge protection devices and have dominated the market because of their highly non-linear characteristics ZnO varistors can generally be divided into two categories, called Bi

Journal ArticleDOI
TL;DR: In this article, the structural, piezoelectric and dielectric properties of PMN-PNN-PZT ceramics were investigated according to the Zr/Ti ratio.
Abstract: In this study, in order to develop compositions of ceramics suitable for piezoelectric actuator and ultrasonic vibrator applications using low temperature sintering, multilayer, PMN-PNN-PZT ceramics were fabricated using and as sintering aids. Their structural, piezoelectric and dielectric characteristics were investigated according to the Zr/Ti ratio. As the Zr/Ti ratio increased, the electromechanical coupling factor , and piezoelectric constant and the mechanical quality factor all increased with Zr/Ti ratio and then decreased after the ratio exceeded 50/50. At the ratio of Zr/Ti

Journal ArticleDOI
TL;DR: In this paper, a Helmholtz coil inductively coupled plasma (H-ICP) etcher is proposed and characterized for deep nanoscale CMOS technology, and various hardware tests are performed while varying key parameters such as distance between the top and bottom coils, the distance between chamber ceiling and the wafer, and the chamber height in order to determine the optimal design of the chamber and optimal process conditions.
Abstract: A novel Helmholtz coil inductively coupled plasma (H-ICP) etcher is proposed and characterized for deep nanoscale CMOS technology. Various hardware tests are performed while varying key parameters such as distance between the top and bottom coils, the distance between the chamber ceiling and the wafer, and the chamber height in order to determine the optimal design of the chamber and optimal process conditions. The uniformity was significantly improved by applying the optimum conditions. The plasma density obtained with the H-ICP source was about 5×10/cm, and the electron temperature was about 2–3 eV. The etching selectivity for the poly-silicon gate versus the ultra-thin gate oxide was 482:1 at 10 sccm of HeO2. The proposed H-ICP was successfully applied to form multiple 60-nm poly-silicon gate layers.

Journal ArticleDOI
TL;DR: In this paper, the etching properties of indium tin oxide films and selectivities over photoresist films were investigated using inductively coupled plasma, and it was observed that while the plasma temperature was kept nearly constant in spite of the change of the HBr mixing ratio, the positive ion density decreases rapidly with increasing the mixing ratio.
Abstract: Dry etching characteristics of indium tin oxide films and etch selectivities over photoresist films were investigated using inductively coupled plasma. From a Langmuir probe diagnostic system, it was observed that while the plasma temperature was kept nearly constant in spite of the change of the HBr mixing ratio, the positive ion density decreases rapidly with increasing the mixing ratio. On the other hand, a quadrupole mass spectrometer showed that the neutral HBr and Br species increased. The etching mechanism in the plasma was analyzed.

Journal ArticleDOI
TL;DR: In this article, the authors fabricated a nanopatterned surface on a GaN thin film deposited on a sapphire substrate and used that as an epitaxial wafer on which to grow an InGaN/GaN multi-quantum well structure with metal-organic chemical vapor deposition.
Abstract: The authors fabricated a nanopatterned surface on a GaN thin film deposited on a sapphire substrate and used that as an epitaxial wafer on which to grow an InGaN/GaN multi-quantum well structure with metal-organic chemical vapor deposition. The deposited GaN epitaxial surface has a two-dimensional photonic crystal structure with a hexagonal lattice of 230 nm. The grown structure on the nano-surface shows a Raman shift of the transverse optical phonon mode to , which implies a compressive stress of 0.5 GPa. However, the regrown thin film without the nano-surface shows a free standing mode of , implying no stress. The nanohole surface better preserves the strain energy for pseudo-morphic crystal growth than does a flat plane.

Journal ArticleDOI
TL;DR: In this article, the electrical and photovoltaic properties of single junction silicon quantum dot solar cells are investigated, and a prototype solar cell with an effective area of 4.7 mm showed an open circuit voltage of 394 mV and short circuit current density of 0.062 mA/cm.
Abstract: The electrical and photovoltaic properties of single junction silicon quantum dot solar cells are investigated. A prototype solar cell with an effective area of 4.7 mm showed an open circuit voltage of 394 mV and short circuit current density of 0.062 mA/cm. A diode model with series and shunt resistances has been applied to characterize the dark current-voltage data. The photocurrent of the quantum-dot solar cell was found to be strongly dependent on the applied voltage bias, which can be understood by consideration of the conduction mechanism of the activated carriers in the quantum dot imbedded material.

Journal ArticleDOI
TL;DR: In this paper, the ZnO/CuSn/ZnO (ZCSZ) multilayer films were deposited on polycarbonate substrates using reactive RF and DC magnetron sputtering.
Abstract: The ZnO/CuSn/ZnO (ZCSZ) multilayer films were deposited on polycarbonate substrates using reactive RF and DC magnetron sputtering. The thickness of each layer was 50 nm/5 nm/45 nm, respectively. The ZCSZ films showed a sheet resistance of 44 Ω/Sq, which was an order of magnitude lower than that indium tin oxide (ITO) films. Although the ZCSZ films had a CuSn interlayer that absorbed visible light, both films had similar optical transmittances of 74% in the visible wavelength region. The figure of merit of the ZCSZ films was 1.0×10 Ω and was greater than the value of the ITO films, 1.6×10 Ω. From the X-ray diffraction (XRD) analysis, the ITO films did not show any diffraction peaks, whereas the ZCSZ films showed diffraction peaks for the ZnO (100) and (002) phases. The hardness of the ITO and ZCSZ films were 5.8 and 7.1 GPa, respectively, which were determined using nano-indentation. From these results, the ZCSZ films exhibited greater optoelectrical performance and hardness compared to the conventional ITO films. [DOI: 10.4313/TEEM.2009.10.5.169]

Journal ArticleDOI
TL;DR: In this paper, the effects of process variables on cell's efficiency have been identified based on broad-band absorption of light by tailor made organometallic dye molecules dispersed on a high surface of TiO.
Abstract: and process variables in the fabrication process of nanocrystalline dye sensitized solar cell. Systematic experiment to identify the effects of process variables on cell’s efficiency has based on broad-band absorption of light by tailor made organometallic dye molecules dispersed on a high surface of TiO

Journal ArticleDOI
TL;DR: In this paper, the structural and dielectric properties of Ferroelectric (BSCT) thick films at different sintering temperatures were investigated, and the thermal analysis showed that the BSCT polycrystalline perovskite phase formed at around.
Abstract: Barium strontium calcium titanate powders were prepared with the sol-gel method. Ferroelectric (BSCT) thick films were fabricated by the screen-printing method on alumina substrate. Then we investigated the structural and dielectric properties of the BSCT thick films at different sintering temperatures. The thermal analysis showed that the BSCT polycrystalline perovskite phase formed at around . The X-ray diffraction analysis showed a cubic perovskite structure with no second phase present in all of the BSCT thick films. The average grain size and the thickness of the specimens sintered at were about and , respectively. The relative dielectric constant increased and the dielectric loss decreased as the sintering temperature was increased; for BSCT thick films sintered at the values of the dielectric constant and the dielectric loss were 5641 and 0.4%, respectively, at 1 kHz.


Journal ArticleDOI
TL;DR: In this paper, high quality Al-N doped p-type ZnO thin films were deposited on Si and buffer layer/Si by DC magnetron sputtering in a mixture of and gas.
Abstract: High-quality Al-N doped p-type ZnO thin films were deposited on Si and buffer layer/Si by DC magnetron sputtering in a mixture of and gas. The target was ceramic ZnO mixed with (2 wt%). The p-type ZnO thin films showed a carrier concentration in the range of , resistivity in the range of 131.22.864 , mobility in the range of 3.9931.6 , respectively. It was easier to dope p-type ZnO films on Si substrates than on buffer layer/Si. The film grown on Si showed the highest quality of photoluminescence (PL) characteristics. The Al donor energy level depth of Al-N codoped ZnO films was reduced to about 50 meV, and the N acceptor energy level depth was reduced to 63 meV.

Journal ArticleDOI
TL;DR: In this article, the effects of a nitride stringer on real display images were investigated, where different step heights were fabricated during a shallow trench isolation (STI) chemical mechanical polishing process to form different stringer sizes, arsenic and boron were implanted in each fabricated photodiode, and the doping density profiles were analyzed.
Abstract: (Received November 2 2009, Revised November 27 2009, Accepted December 14 2009) The leakage current in a CMOS image sensor (CIS) can have various origins. Leakage current investigations have focused on such things as cobalt-salicide, source and drain scheme, and shallow trench isolation (STI) profile. However, there have been few papers examining the effects on leakage current of nitride stringers that are formed by gate sidewall etching. So this study reports the results of a series of experiments on the effects of a nitride stringer on real display images. Different step heights were fabricated during a STI chemical mechanical polishing process to form different nitride stringer sizes, arsenic and boron were implanted in each fabricated photodiode, and the doping density profiles were analyzed. Electrons that moved onto the silicon surface caused the dark leakage current, which in turn brought up the speckle defect on the display image in the CIS. [DOI: 10.4313/TEEM.2009.10.6.189]

Journal ArticleDOI
TL;DR: In this article, a disk type PZT ceramics was fabricated by conventional methods and considered the electric field dependence of frequencyimpedance characteristics, and the material properties were calculated using the resonance method of IRE standards from those frequencies representatively.
Abstract: Since piezoelectric phenomenon able to develop an electric charge proportional to a mechanical stress discovered by J. and P. Curie first, this material has been utilized as core material in diversity fields [1]. Generally, material properties of PZT ceramics are measured under the small signal input. Concrete concept and process are stated clearly in the international standards [2]. The various material constants, determined by these methods are accepted as being trustworthy. In high power devices, such as ultrasonic motors and PZT actuators need a high level or great vibration amplitude, large input signals should be provided to the PZT elements. In accordance with many previous researches, material properties of PZT ceramics shows the linear characteristics only limited ranges of input voltages [3-5]. Hence, it is difficult to estimate the suitability of PZT ceramic material in case of high power applications. In Institute of Radio Engineers (IRE) standards, the material properties are able to be acquired from frequency-impedance characteristics of PZT ceramics [2]. Therefore, if these relations are varied with the applied input voltage, the material constants, calculated by the method enshrined in the standards, undergo changes necessarily. This fact signifies that the material properties of PZT ceramics are affected by the applied electric fields. In this study, we fabricated the disk type PZT ceramic specimens by conventional methods and considered the electric field dependence of frequencyimpedance characteristics. And also, we calculated the PZT constant d33 using the resonance method of the IRE standards from those frequencies representatively.

Journal ArticleDOI
TL;DR: In this article, a low gate source voltage (Vgs) N-LDMOS element that is fully operational at a CMOS Logic Gate voltage (3.3 or 5 V) realized using the 0.35 µm BCDMOS process is presented.
Abstract: This paper aims to develop a low gate source voltage (Vgs) N-LDMOS element that is fully operational at a CMOS Logic Gate voltage (3.3 or 5 V) realized using the 0.35 µm BCDMOS process. The basic structure of the N-LDMOS element presented here has a Low Vgs LDMOS structure to which the thickness of a logic gate oxide is applied. Additional modification has been carried out in order to obtain features of an improved breakdown voltage and a specific on resistance (Rsp). A N-LDMOS element can be developed with improved features of breakdown voltage and specific on resistance, which is an important criterion for power elements by means of using a proper structure and appropriate process modification. In this paper, the structure has been made to withstand the excessive electrical field on the drain side by applying the double gate oxide structure to the channel area, to improve the specific on resistance in addition to providing a sufficient breakdown voltage margin. It is shown that the resulting modified N-LDMOS structure with the feature of the specific on resistance is improved by 31%, and so it is expected that optimized power efficiencies and the size-effectiveness can be obtained. [DOI: 10.4313/TEEM.2009.10.6.193]