scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2021"


Journal ArticleDOI
01 Apr 2021
TL;DR: In this paper, the low-n attenuated phase shift mask is used to enhance contrast and phase shift effect while simultaneously reducing the required exposure dose, which leads to dose reductions exceeding 30% for pitch 38-nm regular contact holes.
Abstract: The low-n attenuated phase-shift mask can strongly improve extreme ultraviolet imaging performance; it enhances contrast by mask 3D mitigation and a phase-shift effect while simultaneously reducing the required exposure dose. The latter happens because the low-n mask gives optimum contrast at more open mask bias values than its Ta-based counterpart. Here, we experimentally verify the imaging physics of the low-n mask. We show that optimum exposure latitude (EL) with the low-n mask is obtained at more open mask bias values compared to the Ta-based reference mask. This leads to dose reductions exceeding 30% for pitch 38-nm regular contact holes (CHs). Initial local critical dimension uniformity (LCDU) data for hexagonal CHs pitch 38 and 40 nm show 15% LCDU improvement with the low-n mask compared to the Ta-based reference. A 16-nm dense lines show a substantial EL increase and dose reduction with the low-n mask compared to the Ta-based case; this can be even further improved by combining the novel mask absorber with asymmetric illumination. As the low-n masks studied here have absolute reflectivities in the range 8% to 15%, side-lobe printing should be carefully monitored. Initial experimental data for pitch 120-nm CHs and simulations on P32 metal clips, show no signs of side-lobe printing. Careful monitoring of stochastic side-lobe printing for various use cases is recommended.

14 citations


Proceedings ArticleDOI
22 Feb 2021
TL;DR: In this paper, the authors evaluate the effect of contrast enhancement strategies on a logic metal layer at pitch 28 nm and conclude that attenuated PSM provides the highest ILS, the narrower best focus range, and the largest overlapping process window without any compromise on the illumination efficiency.
Abstract: The purpose of our study is to evaluate the benefit of contrast enhancement strategies on a logic metal layer at pitch 28 nm. We build up on three studies from imec and ASML [1][2][3]. We take as a reference a Negative Tone Development (NTD) Metal Oxide Resist (MOR) process used in combination with a binary TaBN mask absorber, without SRAF, exposed with an X/Y symmetric pupil on a 0.33 NA EUV scanner, the NXE:3400 from ASML [7]. The fading mitigation strategies leverage asymmetrical pupil (monopole), wavefront injection (Z6 aberration) and low-n attenuated Phase Shift Mask (PSM). We find very good agreement between our simulations on design clips, the theoretical expectations and the experimental data shared in the above mentioned papers on building blocks (L/S through pitch and dense tip-to-tip). Overall the three fading correction techniques are efficient to improve the printability of our use case in term of ILS. It also improves the best focus shift of L/S through pitch and between L/S and tip-to-tip. In conclusion, the most promising exposure strategy for the logic metal pitch 28 nm use case is the attenuated PSM. It provides the highest ILS, the narrower best focus range, the largest overlapping process window without any compromise on the illumination efficiency, i.e. using the full NXE:3400 throughput.

11 citations


Proceedings ArticleDOI
22 Feb 2021
TL;DR: In this paper, the authors present an overall progress update on attenuated phase shift masks (att PSM), including the first experimental lithography results on an EUV att PSM test mask and guidelines needed for optimum performance from diffraction point of view.
Abstract: Simulations on attenuated phase-shift masks (att PSM) for EUV have shown that these novel mask absorbers can strongly boost optical contrast. The optimum EUV imaging mask does not only need to balance the diffraction order amplitudes (as in DUV imaging), it also needs to mitigate the strong mask 3D effects that are present in EUV lithography. The latter is very important and strongly relies on material properties. Here, we present an overall progress update on our att PSM work, including the first experimental lithography results on an EUV att PSM test mask and guidelines needed for optimum performance from diffraction point of view.

7 citations


Proceedings ArticleDOI
In-Hwa Kang1, Jang-Gun Park1, Min-Woo Kim1, J. Y. Lee1, Hye-Keun Oh1 
12 Oct 2021
TL;DR: In this article, the optimal absorber structure was selected in consideration of phase shift and reflectance, and imaging performance and process latitude were calculated through computational simulation, and the optimal structure was chosen by considering various factors constituting the EUV photomask, including phase shift, reflectance and absorbance of the absorber.
Abstract: As semiconductor technology became more integrated, the numerical aperture (NA) of extreme ultraviolet (EUV) exposure technology has increased from 0.33 to 0.55 to realize finer patterning. High NA EUVL aims to enable 8 nm half pitch resolution. As the NA increases and a smaller linewidth needs to be implemented, the mask 3D effects on patterning will become more severe. For mass production of EUV, it is essential to find an optimal absorber structure to alleviate serious problems such as mask 3D effects and shadowing effects. Among the various factors constituting the EUV photomask, phase shift and reflectance of the absorber are the most important factors that determine the image quality. Through computational simulation, the optimal structure was selected in consideration of phase shift and reflectance, and imaging performance and process latitude were calculated.

6 citations


Journal ArticleDOI
TL;DR: In this article, the optimal ruthenium (Ru)/silicon (Si) multilayer structure using a phase-shift mask (PSM) absorber was determined for high numerical aperture (NA) extreme ultraviolet lithography.
Abstract: In high numerical aperture (NA) extreme ultraviolet lithography, which is used to implement a finer linewidth of 10 nm or lower, serious problems arise in patterning as the NA increases. To alleviate such problems, a thin absorber and a multilayer with good reflective efficiency and improved pattern quality are required. To develop an effective EUV photomask for the commercialization of high-NA systems, we determined the optimal ruthenium (Ru)/silicon (Si) multilayer structure using a phase-shift mask (PSM) absorber. A Ru/Si multilayer dRu=2.2nm,dSi=4.7nm using PSM as an absorber has a smaller best-focus range and placement error compared to the molybdenum (Mo)/silicon (Si) multilayer. At the same time, it provides improved image contrast, enabling more stable patterning. Even when the number of layers of the Ru/Si multilayer was reduced, it was confirmed that the reflectance efficiency and image quality were maintained.

3 citations


Proceedings ArticleDOI
12 Oct 2021
TL;DR: In this article, the attenuated phase shift mask (attPSM) films with low complex refractive index (n-Ik) materials are used for next generation lithography nodes to reduce 3D mask effects and to improve image modulation through phase interference.
Abstract: Alternatives to Ta-based absorbers are being considered for next generation lithography nodes to reduce 3D mask effects and to improve image modulation through phase interference. Low complex refractive index (n – ik) materials can provide phase shifting behavior at thicknesses less than those needed for conventional absorbers, essentially acting as attenuated phase shift mask (attPSM) films. Identifying attPSM absorber thickness and consequent phase requires determining optimum phase shift mask reflectance. Imaging with absorbers at high reflectance show better imaging performance. The absorber thickness is determined where the interference effects lead to high absorber reflectivity. Low refractive index (n) materials are therefore desired as candidate attPSM absorbers. Low – n material combinations identified using Wiener bounds and Effective media approximation (EMA) modelling are optimized for NILS and MEEF using absorber reflectivity on line-space and contact-holes patterns. Absorber candidates at optimum thickness for contact holes are compared with conventional Ta-based absorber using reflected nearfield intensity imaging.

2 citations


Proceedings ArticleDOI
23 Aug 2021
TL;DR: Wang et al. as mentioned in this paper evaluated the defect printability of new 30% phase shift mask (PSM) and 6% PSM to critical dimension (CD) on wafer by program defect mask that has pin dot, extrusion and intrusion defects.
Abstract: ArF lithography is still applied to the majority of critical layers, even with increasing of extreme ultraviolet lithography in leading-edge production. As wafer design shrinks, conventional 6% phase shift mask (PSM) becomes hard to meet the ArF lithography requirements especially for array dot on mask (hole on wafer). Therefore, transmission dependency was evaluated by mask 3D simulation, and it was found that 30% transmission has the best lithographic performances for array dot. Based on these results, mask blank and mask making process for new 30% PSM were developed. Wafer printability test using negative tone development demonstrated that new 30% PSM has better process window and mask error enhancement factor (MEEF) than conventional 6% PSM for array dot (hole on wafer). To investigate further application of new 30% PSM, lithography performances of various patterns were evaluated by mask 3D simulation and aerial image measurement system (AIMSTM). The results indicated that new 30% PSM has larger lithography margin than 6% PSM for iso dot, iso line and logic pattern. Additionally, wafer printability test demonstrated that new 30% PSM has better process window than 6% PSM for iso dot. Defect control is also an important factor in high volume manufacturing. Therefore, it is necessary to evaluate the repairability and printability of the defects on new 30% PSM. We repaired various types of defects by electron-beam repair tool and confirmed the repairability by AIMS. And the defect printability of new 30% PSM and 6% PSM to critical dimension (CD) on wafer was evaluated by program defect mask that has pin dot, extrusion and intrusion defects.

1 citations


Patent
01 Mar 2021
TL;DR: In this article, a method of repairing a mask that includes a patterned feature, which produces a phase shift and having a transmittance, is described, and a repair feature over the defect region on the mask is formed.
Abstract: The present disclosure provides a method of repairing a mask. The method includes receiving a mask that includes a patterned feature, the patterned feature producing a phase-shift and having a transmittance; identifying a defect region on the mask; and forming a repair feature over the defect region on the mask, wherein forming the repair feature includes forming a first patterned material layer over the defect region and forming a second patterned material layer over the first patterned material layer to form the repair feature, the repair feature producing the phase-shift and having the transmittance.