scispace - formally typeset
Journal ArticleDOI

Synthesis of Scan Chains for Netlist Descriptions at RT-Level

TLDR
Experimental results presented in this paper demonstrate that the proposed method achieves the above objectives while also achieving higher fault coverages for most of the benchmark circuits considered.
Abstract
This paper presents a methodology to insert scan paths in a functional Register Transfer Level (RTL) specification of a design that can exploit existing functional paths between sequential elements in the original circuit for establishing scan chains. The primary objective for RTL scan insertion is to reduce the time taken for DFT, and thus reduce the time to market. Additionally, building scan chains at the functional RT-Level is expected to reduce the total area overhead introduced by full scan without compromising the fault coverage achieved. In addition, it often eliminates the delay associated with the additional multiplexer as a part of a conventional scan-cell in high performance designs. Experimental results presented in this paper demonstrate that the proposed method achieves the above objectives while also achieving higher fault coverages for most of the benchmark circuits considered.

read more

Citations
More filters
Journal ArticleDOI

RTL DFT Techniques to Enhance Defect Coverage for Functional Test Sequences

TL;DR: This work presents a DFT method that uses the register-transfer level (RTL) output deviations metric to select observation points for an RTL design and a given functional test sequence and shows that the proposed method outperforms two baseline methods for several gate-level coverage metrics.
Proceedings ArticleDOI

An Innovative Methodology for Scan Chain Insertion and Analysis at RTL

TL;DR: This work combines the use of a lightweight synthesis with graph models for inferring logical proximity information from the design, and then uses classic approximation algorithms for the traveling salesman problem to determine the scan-stitching ordering, which allows the decrease of the cost of both scan analysis and implementation.
Proceedings ArticleDOI

F-scan: An approach to functional RTL scan for assignment decision diagrams

TL;DR: A new methodology for functional Register Transfer Level (RTL) scan is presented, in which existing functional elements and paths can be maximally utilized and the total area overhead due to augmentation for testing is reduced.
Proceedings ArticleDOI

Constrained ATPG for functional RTL circuits using F-Scan

TL;DR: An approach to constrained automatic test pattern generation (ATPG) for functional circuits at register-transfer level (RTL) with the help of a design-for-testability (DFT) technique called F-scan, which effectively reduces the hardware overhead due to test.
Proceedings ArticleDOI

RTL DFT techniques to enhance defect coverage for functional test sequences

TL;DR: This work presents a DFT method that uses the register-transfer level (RTL) output deviations metric to select observation points for an RTL design and a given functional test sequences and shows that the proposed method outperforms two baseline methods for two gate-level coverage metrics.
References
More filters
Book

Computers and Intractability: A Guide to the Theory of NP-Completeness

TL;DR: The second edition of a quarterly column as discussed by the authors provides a continuing update to the list of problems (NP-complete and harder) presented by M. R. Garey and myself in our book "Computers and Intractability: A Guide to the Theory of NP-Completeness,” W. H. Freeman & Co., San Francisco, 1979.
Proceedings ArticleDOI

H-SCAN: A high level alternative to full-scan testing with reduced area and test application overheads

TL;DR: Application of H-SCAN to RT-level designs and fault simulation using the test patterns generated by H- SCAN shows fault coverage comparable to full-scan testing, with significant reduction in test area overhead and test application time when compared to a traditional gate-level full- scan implementation.
Journal ArticleDOI

Scan Design Using Standard Flip-Flops

TL;DR: The authors outline a method to design easily testable sequential circuits that achieve scan designs using standard (unmodified) flip-flops.