scispace - formally typeset
A

Avi Ziv

Researcher at IBM

Publications -  87
Citations -  2025

Avi Ziv is an academic researcher from IBM. The author has contributed to research in topics: Functional verification & Intelligent verification. The author has an hindex of 24, co-authored 86 publications receiving 1882 citations. Previous affiliations of Avi Ziv include Stanford University & Advanced Technology Center.

Papers
More filters
Proceedings ArticleDOI

Coverage directed test generation for functional verification using Bayesian networks

Shai Fine, +1 more
TL;DR: This paper addresses one of the main challenges of simulation based verification (or dynamic verification), by providing a new approach for coverage directed test generation (CDG), based on Bayesian networks and computer learning techniques.
Journal ArticleDOI

Genesys-Pro: innovations in test program generation for functional processor verification

TL;DR: Geneysys-Pro is currently the main test generation tool for functional verification of IBM processors, including several complex processors, and it is found that the new language considerably reduces the effort needed to define and maintain knowledge specific to an implementation and verification plan.
Journal ArticleDOI

An on-line algorithm for checkpoint placement

TL;DR: The proposed algorithm uses knowledge of the current cost of a checkpoint when it decides whether or not to place a checkpoint, and its behavior is close to the off-line optimal algorithm that uses a complete knowledge of checkpointing cost.
Journal ArticleDOI

Using a constraint satisfaction formulation and solution techniques for random test program generation

TL;DR: The generation of test programs is formulated as a constraint satisfaction problem and techniques for dealing with the challenges the authors face, most notably: huge variable domains and the need to randomly generate "well distributed" samplings of the solution space are developed.
Proceedings ArticleDOI

User defined coverage—a tool supported methodology for design verification

TL;DR: The main idea behind the methodology is a separation of the coverage model definition from the coverage analysis tool, which enables the user to define the coverage models that best fit the points of significance in the design, and still have the benefits of a coverage tool.