scispace - formally typeset
N

Nigel Topham

Researcher at University of Edinburgh

Publications -  127
Citations -  2446

Nigel Topham is an academic researcher from University of Edinburgh. The author has contributed to research in topics: Instruction set & Cache. The author has an hindex of 23, co-authored 126 publications receiving 2375 citations. Previous affiliations of Nigel Topham include University of Manchester.

Papers
More filters
Proceedings ArticleDOI

Multiple-banked register file architectures

TL;DR: This paper proposes a register file architecture composed of multiple banks, which provides low latency and simple bypass logic and shows that a two-level organization degrades IPC and increases performance by 87% and 92% when the register file access time is factored in.
Book ChapterDOI

Performance of the decoupled ACRI-1 architecture: the perfect club

TL;DR: The applicability of access and control decoupling to real-world codes is investigated and bounds for the performance of these codes are derived and it is shown that, whilst some exhibit performance roughly equivalent to that on vector computers, others exhibit considerably higher performance potential in a decoupled system.
Proceedings ArticleDOI

Eliminating cache conflict misses through XOR-based placement functions

TL;DR: It is shown that for a 8 Kbyte data cache, XOR-mapping schemes approximately halve the miss ratio for two-way associative and column-associative organizations, and XOR mapping schemes provide a very significant reduction in the misses ratio for the other cache organizations, including the direct-mapped cache.
Proceedings ArticleDOI

Introducing SLAMBench, a performance and accuracy benchmarking methodology for SLAM

TL;DR: SLAMBench as mentioned in this paper is a publicly available software framework which represents a starting point for quantitative, comparable and validatable experimental research to investigate tradeoffs in performance, accuracy and energy consumption of a dense RGB-D SLAM system.
Journal ArticleDOI

Randomized cache placement for eliminating conflicts

TL;DR: This paper shows how the introduction of a pseudorandom element into the cache index function can effectively eliminate repetitive conflict misses and produce a cache where miss ratio depends solely on working set behavior.