scispace - formally typeset
X

Xin Huang

Researcher at University of California, Riverside

Publications -  44
Citations -  750

Xin Huang is an academic researcher from University of California, Riverside. The author has contributed to research in topics: Electromigration & Transistor. The author has an hindex of 15, co-authored 44 publications receiving 620 citations. Previous affiliations of Xin Huang include Peking University.

Papers
More filters
Proceedings ArticleDOI

Physics-based Electromigration Assessment for Power Grid Networks

TL;DR: A novel approach and techniques for physics-based electromigration (EM) assessment in power delivery networks of VLSI systems by replacing a currently employed conservative weakest segment criterion with an increase in the voltage drop above the threshold level, caused by EM-induced increase in resistances of the individual interconnect segments.
Journal ArticleDOI

Physics-Based Electromigration Models and Full-Chip Assessment for Power Grid Networks

TL;DR: A novel approach and techniques for physics-based electromigration (EM) assessment in power delivery networks of very large scale integration systems shows that the proposed method will lead to less conservative estimation of the lifetime than the existing Black-Blech-based methods.
Journal ArticleDOI

Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees

TL;DR: This paper demonstrates, for the first time, a first principle-based analytical solution of the stress evolution in a multibranch tree by de-coupling the individual segments through the proper boundary conditions (BCs) accounting the interactions between different branches.
Journal ArticleDOI

Postvoiding Stress Evolution in Confined Metal Lines

TL;DR: In this paper, the authors derived analytical solution to the continuity equation with a voidless initial condition provided drastically different stress evolution kinetics in comparison with the case of the line edge drift model.
Journal ArticleDOI

Task Migrations for Distributed Thermal Management Considering Transient Effects

TL;DR: A new temperature metric derived from frequency domain moment matching technique incorporates both initial temperature and other transient effects to make optimized task migration decisions, which leads to more effective reduction of hot spots in the experiments on a 100-core microprocessor than the existing distributed thermal management methods.