scispace - formally typeset
Search or ask a question

Showing papers on "Proximity effect (electron beam lithography) published in 1979"


Journal ArticleDOI
TL;DR: In this article, three corrections techniques are discussed: shape-dimension adjustment, region compensation, and self-consistent technique to compensate for proximity effects in regions between shapes, which leads to computational complexities and impracticalities.
Abstract: Electron lithography at micrometer dimensions suffers from a seemingly fatal problem due to proximity effects. Three corrections techniques are discussed. The self‐consistent technique computes the incident electron exposure such that identical average specific fragmentation occurs in each written shape of the pattern. A unique solution, that depends only on the form and on the magnitude of proximity function, is obtained. The unaddressed‐region compensation technique attempts to compensate for proximity effects in regions between shapes; this, however, leads to computational complexities and impracticalities. The shape‐dimension adjustment technique attempts to compute dimension of exposed shapes such that the shapes developed in the resist will have the designed dimension. A set of nonlinear (and impractical) equations are obtained in this case. The implementation of these techniques and the experimental results obtained therefrom are the subject of the two succeeding papers.

146 citations


Journal ArticleDOI
TL;DR: In this paper, the authors explored intra line and inter line proximity effects and their dependence on beam voltage via computer simulation of electron scattering, energy deposition, and subsequent development in electron beam lithography processes.
Abstract: Intra line and inter line proximity effects and their dependence on beam voltage are explored via computer simulation of electron scattering, energy deposition, and subsequent development in electron‐beam lithography processes. For thin resist films (?1 μm) on silicon substrates,the simulation predicts that smaller linewidths and gaps can be achieved without proximity correction at 10 kV, compared to 20 kV. Experimental confirmation of the predictions is presented.

26 citations


Journal ArticleDOI
TL;DR: In this paper, a Monte Carlo simulation for electron scattering trajectories was used to obtain the spatial distributions of absorbed energy in a resist layer, constituting the modeled proximity effect, are in good agreement with experimental results.
Abstract: The dependence of the proximity effect, which deforms electron‐beam exposed patterns, on the substrate material has been investigated theoretically and experimentally. Substrates examined are Si, SiO2, Cr, Mo, Au and their double layers, which are used in LSI fabrication process with a direct writing technique. The proximity effect is approximated as the sum of absorbed energy distributions in a resist layer, which are calculated separately with respect to electron re‐incidence number (Ns) from substrate into resist. A Monte Carlo simulation for electron scattering trajectories was used to obtain the spatial distributions of absorbed energy in a resist. The incident beam extent influence was examined. A new evaluation technique was used to obtain the exposed intensity distributions. The absorbed energy distributions, constituting the modeled proximity effect, are in good agreement with experimental results. The absorbed energy distribution for Ns=0 with a Gaussian incident beam is approximated by the func...

26 citations


Journal ArticleDOI
TL;DR: In this article, a mathematical formulation for the correction of proximity effects in electron beam lithography has been developed, which involves an efficient evaluation of the proximity interaction between every pair of shapes in the pattern.
Abstract: Mathematical formulations for the correction of proximity effects in electron beam lithography have been developed in the preceding paper. The implementation of these techniques to practical electron lithographic patterns involves (1) an efficient evaluation of the proximity interaction between every pair of shapes in the pattern. This interaction can be computed explicitly in limited cases; an algorithm for general cases is described. (2) The solution of the linear equations that yield the corrections to proximity effect. This is accomplished via a series of algorithms that involve dissecting the data into overlapping zones of different types. Pattern data manipulation is reduced by an algorithm involving generation of additional data, tagging, and sorting.

24 citations


Journal ArticleDOI
TL;DR: In this paper, the influence of electron scattering on the resolution of electron beam lithography has been studied and two different Monte-Carlo approaches were used to study the spatial extent of energy dissipation in a thin film of electron sensitive polymer film coated on various thicknesses of silicon substrates.
Abstract: The influence of electron scattering on the resolution of electron‐beam lithography has been studied. Theoretically, we have used two different Monte‐Carlo approaches to study the spatial extent of energy dissipation in a thin film of electron sensitive polymer film coated on various thicknesses of silicon substrates. The two Monte‐Carlo approaches are the conventional continuous‐slowing‐down approximation approach and the direct simulation approach in which individual inelastic scattering is taken into account. Experimentally, we have exposed lithographic patterns on the structures mentioned above. Agreement between both Monte‐Carlo approaches and experiment is satisfactory. Results show that higher resolution in electron beam lithography can be achieved by using thin electron sensitive resist layers and thin substrates. Improvement in proximity effect is also obtained for thin structures.

24 citations


Journal ArticleDOI
TL;DR: In this article, a self-consistent formulation and the algorithms for computation described in the two preceeding papers have been used for the automatic computation of corrections to the incident electron exposure for an arbitrary pattern is described.
Abstract: Satisfactory corrections to intershape and intrashape proximity effects in electron lithography have been experimentally obtained for a variety of patterns and geometries. The self‐consistent formulation and the algorithms for computation described in the two preceeding papers have been used. The computer program used for the automatic computation of corrections to the incident electron exposure for an arbitrary pattern is described. Pattern delineation depends on the proximity function and its parameters. They are found to be not critical in practical lithography until submicron dimensions are reached.

22 citations


Journal ArticleDOI
TL;DR: Computing algorithms have been proposed to handle arbitrarily shaped pattern data to remove overlapping, which causes multiple exposure, to invert polarity of mask patterns that produce negative exposure patterns, and to partition patterns into subfields whose domains are limited by the scanning angle of the electron-beam equipments.
Abstract: A data processing system called Automatic Masking-Data generation for Electron-beam exposure System (AMDES) for drawing submicrometer patterns of integrated circuits has been developed. Electron-beam exposure data are generated based on the mask pattern design data. Distortion of patterns due to electron-beam deflection is compensated for by analyzing the inverse-mapping of the distortion function. Furthermore, a method of compensating for distortion due to the proximity effect is proposed. This method applies to multiple integral of the exposure intensity distribution (EID) function around the characteristic length neighborhood of the representative point. Computing algorithms have also been proposed to handle arbitrarily shaped pattern data to remove overlapping, which causes multiple exposure, to invert polarity of mask patterns that produce negative exposure patterns, and to partition patterns into subfields whose domains are limited by the scanning angle of the electron-beam equipments. By using this system with 1-µm design rule, a highly integrated resist pattern was produced by directly exposing an electron beam onto the wafer.

12 citations


Journal ArticleDOI
TL;DR: In this article, a Monte Carlo simulation for electron-beam lithography is presented, where the three-dimensional spatial distribution of the absorbed energy density in a resist was calculated for a 0.8-µm thick poly-methyl methacrylate film on a silicon substrate irradiated by 20-keV electrons.
Abstract: We have developed a computer simulation program based on the Monte Carlo calculation for electron-beam lithography, which can take account of pattern geometry. The three-dimensional spatial distribution of the absorbed energy density in a resist was calculated for a 0.8-µm thick poly-methyl methacrylate film on a silicon substrate irradiated by 20-keV electrons. The pattern geometries investigated here are composed of the two adjacent elements of a 20-µm square and a 1.5×10 µm2 rectangle, where the proximity effect cannot be disregarded. Some exposure experiments under the same conditions were conducted using an electron beam exposure system in the dose range 0.72×10-4 to 5.43×10-4 C/cm2. The plane profiles of the developed resist-pattern agree well with those predicted by the simulation. Though the accuracy of the simulation decreases at low doses, there is a prospect of improvement by introducing the energy-loss formula proposed by Spencer and Fano instead of Bethe's formula used here.

10 citations


Journal ArticleDOI
TL;DR: In this article, a procedure to construct an MOS LSI fabrication process, using direct electron beam writing technology, has been proposed, where positive resist PMMA and aluminum liftoff technique are used.
Abstract: A procedure to construct an MOS LSI fabrication process, using direct electron beam writing technology, has been proposed. Positive resist PMMA and aluminum liftoff technique are used. Proximity effect and resist thickness dependence, as well as line width and undercut profile of resist patterns, play important roles in determination of optimum patterning condition. Electron beam radiation damage can be annealed out by suitable heat-treatment, while the amount of damage depends on processing steps. The process also includes a plasma etching with improved gas composition, a two-step glass flow technique and molybdenum wet etching with newly developed solution. Successful fabrication results, 1-kbit MOS RAM and TEG with 2 µm minimum pattern dimension, demonstrate the validity of these processes.

4 citations


Patent
12 Dec 1979
TL;DR: In this article, the authors proposed a method to obtain the highly precise drawing of a microscopic pattern by removing the proximity effect using a method wherein the portions of the exposed pattern, having a smaller measurement of the distance to the adjoining pattern than the prescribed measurement, are discriminated and a reduced amount of exposure is exposed on said portions.
Abstract: PURPOSE:To obtain the highly precise drawing of a microscopic pattern by removing the proximity effect using a method wherein the portions of the exposed pattern, having a smaller measurement of the distance to the adjoining pattern than the prescribed measurement, are discriminated and a reduced amount of exposure is exposed on said portions. CONSTITUTION:The portion B1, where the distance l between patterns A and B arranged in close vicinity each other is smaller than the distance l0 to be effected by the proximity effect arising from the reflection and scattering of the electronic beam on the substrate surface, is discriminated. In the case of the patterns A and B1, they are exposed after the amount of exposure per unit area is corrected to a smaller value taking into consideration of the proximity effect. The portion B2 having no proximity effect is exposed with the prescribed amount of exposure. Hence, the narrowing of the pattern interval due to an overexposure caused by the proximity effect is prevented and a highly accurate drawing can be performed.

3 citations


Journal ArticleDOI
TL;DR: In this article, a fast computation method to correct for the proximity effect in electron-beam lithography is studied for practical uses, where a large pattern is divided into small sections, and it takes 105 minutes to compute 10,000 test pattern elements with a minicomputer.
Abstract: A fast computation method to correct for the proximity effect in electron‐beam lithography is studied for practical uses. Formerly, to compute the proximity effect a double integral of two Gaussian distribution functions was applied. To save computation time and memory size, a simplified computation using the error function is discussed. In this solution the proximity effect correction is determined by two real multiplications, four subtractions and one addition. Not only beam intensity but also a pattern element size is considered in every pattern. A large pattern is divided into small sections. In this method, the computation time is proportional to the number of elements. It takes 105 minutes to compute 10 000 test pattern elements with a minicomputer. The experiment proves that the corrected pattern can be exposed as designed. This procedure has proven effective to design VLSI by using a minicomputer.

Patent
19 Jan 1979
TL;DR: In this article, the primary exposure over a wide range at the sensitivity of a resist material or below and performing secondary exposure to form a pattern was used to enhance sensitivity, resolution and image forming speed and relieve proximity effect.
Abstract: PURPOSE: To enhance sensitivity, resolution and image forming speed and relieve proximity effect by uniformly carrying out primary exposure over a wide range at the sensitivity of a resist material or below and performing secondary exposure to form a pattern. CONSTITUTION: In production of a minute pattern for a semiconductor device, etc., radiation is used in exposure at the sensitivity of a resist or below, and electron beams are used in pattern exposure. Sample 54, resist-coated substrate is first mounted on stand 55 in preevacuation chamber 48, and chamber 48 is evacuated. Sluice valve 49 is then opened to shift sample 54 into preradiation chamber 44, where sample 54 is uniformly irradiated with X-rays, far ultraviolet rays or the like from radiation source 46 to carry out exposure at the sensitivity of the resist or below. Next, sample 54 is put into sample chamber 42, and electron beams are generated in mirror tube 41 to perform pattern exposure. After the exposure sample 54 is shifted into preevacuation chamber 51, the internal press. of chamber 51 is returned to atmospheric press., and sample 54 is taken out. Thus, by two-stage exposure sensitivity is enhanced, and a minute pattern can be drawn with accuracy. COPYRIGHT: (C)1980,JPO&Japio