scispace - formally typeset
Search or ask a question

Showing papers on "Photomask published in 2023"


Journal ArticleDOI
TL;DR: In this paper , a polymer-stabilized cholesteric liquid crystal (PSCLC) films with broadband reflection are fabricated by photomask polymerization, and the results showed that the reflectance bandwidth was broadened from 293 nm to 508 nm.

3 citations


Proceedings ArticleDOI
28 Apr 2023
TL;DR: In this article , the authors describe the direct print Extreme Ultra Violet (EUV) technology used for lithographic patterning of 30-36 nm pitch metal layers of Intel 18A technology node.
Abstract: This paper describes the direct print Extreme Ultra Violet (EUV) technology used for lithographic patterning of 30-36 nm pitch metal layers of Intel 18A technology node. Direct print EUV delivers cost effective pitch scaling to enable flexible design rules and ease of use for layout designers. Careful co-optimization of the illumination source, photoresist and lithography stack is essential to resolve the tightest pitches. Optimum CDSEM metrology conditions and EUV specific requirements such as full field correction with thru slit, flare and black border compensation are critical to improve the quality of the optical proximity correction (OPC) flows. OPC algorithms were used to maximize the process window by using width sizing and pitch shifting to meet lithographic printability criteria while pushing mask manufacturability constraints to their healthy limits. The sizing of metal lines is modelled and fed to the RC extraction flows to close the fabdesign house feedback loop to improve accuracy of timing closure. Multiple test masks were specifically designed to increase sensitivity of defect metrology and accelerate yield learning. Our results from multiple product vehicles demonstrate achievement of technology readiness milestones.

1 citations


Journal ArticleDOI
TL;DR: In this article , the improved storage stability of camphorquinone (CQ)-based photocurable materials in sunlight was demonstrated due to the photomask agent E-HPO/Z-HCO exhibiting a wide absorption profile and large molar extinction coefficient to inhibit CQ.

1 citations


Proceedings ArticleDOI
28 Apr 2023
TL;DR: In this article , the benefits of Source Mask Optimization (SMO) and mask only optimization were investigated to explore EUV High-NA full chip patterning solutions, where mask 3D effects (M3D) are captured in the optical modeling.
Abstract: The EUV High-NA scanner brings innovative design changes to projection optics, such as introducing center obscuration and the anamorphic projection optical system in the projection optics box (POB) to improve the system transmission while the NA is improved1 . These design changes need to be accounted for in the computational lithography software solutions, to ensure accurate modeling and optimization of the High-NA system performance on wafer. In this paper, we will systematically investigate the benefits of Source Mask Optimization (SMO) and mask only optimization to explore EUV High-NA full chip patterning solutions, where mask 3D effects (M3D) are captured in the optical modeling. The paper will focus on assessing the performance (including process window, depth of focus, normalized image log slope) of through-pitch 1D Line/space (L/S) patterns and 2D Contact/Hole (CH) patterns after aforementioned optimizations and demonstrate the impact of center obscuration on imaging. In addition, we will investigate the effect of sub-resolution assistant feature (SRAF) on High-NA patterning via comparing the optimized lithographic performance with and without SRAF. These findings will help determine the most optimal patterning solutions for EUV High-NA as we move towards the first High NA EUV insertion. The paper will also discuss the anamorphic SMO where MRC and mask description needs to change from wafer plane (1x1) to scaled reticle plane (1x2). The interfield stitching will also be briefly discussed in this paper.

1 citations


Proceedings ArticleDOI
28 Apr 2023
TL;DR: In this paper , a low-n dark field EUV mask with regular hole grid design and positive tone development (PTD) is considered, and the SRAFs printing pixels can be captured and modeled with compact resist stochastic modeling.
Abstract: The requirement of larger common overlap process window in EUV patterning is getting stronger when the design pitch continues to shrink. The reflective optics in EUV generate various imaging issues due to mask 3-dimensional (M3D) effects. Therefore, sub-resolution assistant features (SRAFs) insertion is preferred for the resolution enhancement technology. SRAFs insertion can create a dense optical environment that will prevent strong best focus shift between semi-isolated and isolated features. From the previous study, SRAFs insertion and stochastic printing can be modeled and verified with a flow utilizing a compact resist 3D model (R3D) in conjunction with stochastic model. In this work, additional SRAFs investigations and studies extend to a better choice of alternative EUV mask absorbers that can mitigate M3D effects and have better lithography performance. In this paper, a low-n dark field EUV mask with regular hole grid design and positive tone development (PTD) is considered. The SEM (scanning electron microscope) images of through pitches with various SRAFs sizes and combination of SRAF to main space are collected. The SRAFs printing pixels can be captured and modeled with compact resist stochastic modeling. The results can be verified using average printed area (APA) metric with a R3D model and the simulation studies have proved the SRAFs printing sensitivity to the photomask biases.

1 citations


Journal ArticleDOI
TL;DR: In this article , a review summarizes current progress in NGF pellicles, including large-scale material fabrication (up to 135 mm × 135 mm), transfer method for freestanding form, and practical characterization methods.
Abstract: Extreme ultraviolet lithography (EUVL) is widely employed in the electronics, automotive, military, and AI computing areas for IC chip fabrication. A pellicle is a thin and transparent membrane that protects a costly photomask, known as a reticle, during the EUVL process. The fabricated IC chip can be disastrous without a pellicle. When a particle lands on a photomask, it frequently results in a faulty pattern, which leads to chip failure and lower production yield. A nanometer‐thick graphite (NGF) has demonstrated tremendous potential for addressing optical, mechanical, thermal, and chemical criteria among potential pellicle materials such as carbon allotropes, Si, SiNx, and Si‐Mo‐Nb. This review summarizes current progress in NGF pellicles, including large‐scale material fabrication (up to 135 mm × 135 mm), transfer method for freestanding form, and practical characterization methods. Current significant challenges and future opportunities for NGF pellicles are also discussed in order to facilitate a critical transition from lab‐scale research to industrial‐scale implementation.

1 citations


Proceedings ArticleDOI
15 Mar 2023
TL;DR: In this paper , a machine learning (ML) model is constructed to avoid the time consumption of the conventional OPC method without losing the accuracy, and various pitches of flat optics metalens, from 465 nm to 160 nm, have been studied for the implementation of the ML OPC.
Abstract: We introduce well-developed optical proximity correction (OPC) techniques to the metasurface-based flat optics manufacturing process. Flat optics, formed by subwavelength scale nanostructure pillar (nanopillar) array, so called metasurface, has become promising substitutes for conventional bulky optical components. For its manufacturing, photolithography is preferable rather than the electron beam lithography (EBL) technique because of its time and cost effectiveness for mass manufacturing. However, the required feature size and pitch of the metasurface for the visible light is approaching the process limit of the ArF immersion lithography. It results in critical dimension (CD) errors due to optical proximity effect and could result in efficiency degradation of the flat optics. In the semiconductor manufacturing industry, OPC based on process modelling and numerical computation has been developed for the last few decades to control the CD on the wafer. Here, a machine learning (ML) model is constructed to avoid the time consumption of the conventional OPC method without losing the accuracy. Various pitches of flat optics metalens, from 465 nm to 160 nm, has been studied for the implementation of the ML OPC. The root mean square (RMS) CD errors < 1 nm and the CD accuracies < 6 nm can be achieved. The CD error percentages over the pillar diameters < 6 % is observed and the improvement of CD error and CD accuracy compared to rule based OPC in small pitches of metalens is demonstrated.


Proceedings ArticleDOI
27 Apr 2023
TL;DR: In this article , the EUV reflective grazing incidence nanoscope (REGINE) was developed to perform grazing incidence lensless imaging for patterned wafers, which is a tool that combines CDI, scatterometry and reflectometry in the photon energy range between 80 to 200 eV.
Abstract: Non-destructive metrology for photomasks and wafers has always been an important requirement for semiconductor lithography, and with the advent of EUVL, enabling further shrinkage of semiconductor devices, the challenges in this field have increased significantly. Coherent diffraction imaging (CDI) is a promising alternative to standard imaging for EUV photomask actinic inspection. EUV light can also be used for wafer inspection to benefit from the resolution improvement allowed by its short wavelength. In order to perform lensless imaging for patterned wafers, however, we need to probe the sample surface at grazing incidence to ensure a sufficiently high reflectance. The EUV reflective grazing incidence nanoscope (REGINE) at the Swiss Light Source was develped to perform grazing incidence lensless imaging for patterned wafers. REGINE is a tool that combines CDI, scatterometry and reflectometry in the photon energy range between 80 to 200 eV, and at the grazing incidence angle of 1 to 28 degrees. In this work, we will present the latest characterization of our system, and preliminary results.

Journal ArticleDOI
TL;DR: In this article , a two-step method was proposed to acquire surface deformation of the liquid-crystalline azopolymer film using a two step method: selective photoisomerization of azopolymers and then solvent development.
Abstract: Surface morphing of organic materials is necessary for advances in semiconductor processing, optical gratings, anticounterfeiting etc., but it is still challenging, especially for its fundamental explanation and further applications like advanced anticounterfeiting. Here, we report one strategy to acquire surface deformation of the liquid-crystalline azopolymer film using a two-step method: selective photoisomerization of azopolymers and then solvent development. In the first step, surface tension of the polymer film can be patterned by the selective photoisomerization of azopolymers, and then in the second step, the flowing solvent drags the underlying polymer to transport, leading to the formation of surface deformation. Interestingly, the direction of mass transport is opposite to the traditional Marangoni flow, and the principle of solvents' choice is the matching of surface tensions between the azopolymer and the solvent. The two-step method shows characteristics of efficient surface morphing, which could be applied in advanced anticounterfeiting by the way of photomask-assistant information writing or microscale direct writing, and then reading in a specific liquid environment. This paves a new way for understanding the mechanism of mass transport toward numerous unprecedented applications using various photoresponsive materials.

Proceedings ArticleDOI
28 Apr 2023
TL;DR: In this paper , the authors introduce two different types of process defects: one of the defects think related to mask blank surface status and the other defect may relate to etching chamber inner surface condition.
Abstract: The pattern size of semiconductor circuits has been shrinking as technical advances continued. Defect control becomes tighter due to a decrease in defect size that affects the image printed on the wafer. It is critical to the photomask which contained considerably shrunk circuit and ultra-high density pattern of sub – 20 nm tech devices. In this paper, we introduce two different types of process defects: one of the defects think related to mask blank surface status and the other defect may relate to etching chamber inner surface condition. By the experiment results, we will bring forward the possible defect generation mechanism. Based on this understanding, an appropriate solution by surface treatment methods to mitigate defects will be proposed.

Posted ContentDOI
10 Jan 2023
TL;DR: In this article , a patternable oriented metal-organic framework (MOF) was designed for X-ray exposure, and the MOF film decomposes in the irradiated areas, remaining intact in the unexposed regions.
Abstract: Micropatterning crystalline materials with oriented pores is necessary for the fabrication of devices with anisotropic properties. Crystalline and porous metal-organic frameworks (MOFs) are ideal materials as their chemical and structural mutability enables precise tuning of functional properties for applications ranging from microelectronics to photonics. Herein, we design a patternable oriented MOF film: by using a photomask under X-ray exposure, the MOF film decomposes in the irradiated areas, remaining intact in the unexposed regions. The MOF film acts simultaneously as a resist and as functional porous material. While the heteroepitaxial growth from aligned Cu(OH)2 nanobelts is used to deposit oriented MOF films, the sensitivity to radiation is achieved by integrating a brominated dicarboxylic ligand (Br2BDC) into a copper-based MOF Cu2L2DABCO (L=BDC/Br2BDC). The lithographed samples act as a diffraction grating upon irradiation with a laser, thus confirming the quality of the extended MOF micropattern. Furthermore, the oriented MOF patterns are functionalized with fluorescent dyes. As a result, by rotating the polarization angle of the laser excitation, we demonstrate the alignment of the dye in the MOF. By controlling the functional response to light, this MOF patterning protocol could be used for the microfabrication of optical components for photonic devices.


Journal ArticleDOI
TL;DR: In this paper , the authors presented a visually transparent light-diffusing panel in the form of a light guide, which has semi-reflective, thin-film blades buried within it acting as diffusive outcouplers.
Abstract: We present a visually transparent light-diffusing panel in the form of a light guide, which has semi-reflective, thin-film blades buried within it acting as diffusive outcouplers. The semi-reflective blades can be tilted relative to the length of the light guide and are textured to partially scatter the light incident upon them in the reflection domain, while transmitting the rest. We show that the texturization of the outcouplers can be achieved following a simple molding process using a mold with well-defined roughness regions to subsequently create light-scattering surfaces. The mold is either based on frosted glass or on a photolithographic process using a polymer photomask. We demonstrate with a prototype that the view through the textured outcouplers is visually clear. Also, we demonstrate that by varying the angular difference between the incidence of the propagating light rays and the outcoupler angle, the ratio of the amount of diffused light emanating from the two surfaces of the light guide can be biased from ∼1:2 to ∼1:20. The proposed device can be used as a transparent light source that provides an occlusion-free and undistorted see-through view.

Proceedings ArticleDOI
28 Apr 2023
TL;DR: In this article , various strategies are evaluated to improve both ADI and AEI LCDU on one of multiple patterning processes, the Litho-Etch-Litho Etch (LELE) process.
Abstract: Improving local critical dimension uniformity (LCDU) is always significant for enlarging process windows and reducing defect rates in lithography, especially for more scaling sub-20nm nodes in DRAM devices. In this study, various strategies are evaluated to improve both ADI and AEI LCDU on one of multiple patterning processes, Litho-Etch-Litho-Etch (LELE) process. Firstly, different advanced photoresists and track recipe optimization methods are explored and evaluated. The best result shows ADI LCDU is improved by 10.0%, and AEI LCDU by 10.3%. Secondly, several source mask optimization (SMO) solutions are tested and ADI and AEI LCDU is improved by 5.5% and 5.7%. Thirdly, new type of photomask, 30% high transmission phase shift mask (HT PSM), is introduced to optimize NILS and MEEF performances. The result shows ADI and AEI LCDU are enhanced by 13.7% and 14.2%. Additionally, etch advanced vertical profile approach can further improve on-device AEI LCDU by 19.0%.

Journal ArticleDOI
TL;DR: In this article , a static oblique lithography (SOL) strategy based on conventional digital micromirror device (DMD) projection lithography is proposed to produce high fidelity microstructures with very smooth curved edges in all directions and accurate relative line positions.
Abstract: This paper proposes a static oblique lithography (SOL) strategy based on conventional digital micromirror device (DMD) projection lithography. This strategy combines the oblique lithography on oblique scanning lithography with static lithography to ensure that we can produce high fidelity microstructures with very smooth curved edges in all directions and more accurate relative line positions. Not only does the single-axis high-precision micromotion stage of this strategy enable a significant reduction in fabrication cost, but also enables the lithography of subpixel-width curves with smooth edges used our improved sub-pattern quantization strategy. The intensity distribution of the actual diffracted light field at the focal point of the helical zone plate fabricated by the SOL is highly matched with the intensity distribution of the designed simulated light field. This shows that the SOL can play an important role in the field of micro-optical device fabrication.


Journal ArticleDOI
TL;DR: In this paper , a one-step patterned contact-resistance-free structure (ISSFS) was proposed for stretchable strain sensors, where the conductive materials and the insulating substrates do not need to have different geometric layouts, thus they can be patterned by only one step laser cutting.
Abstract: Most of the resistive-type stretchable strain sensors exhibit large sensing ranges and high sensitivity but suboptimal repeatability and linearity because of the contact-resistance mechanism. To achieve high repeatability and linearity, several sensors with the contact-resistance-free structures are proposed. However, due to the different geometric layouts of the conductive materials and the insulating substrates, the patterning of these sensors requires multiple processes including photolithography, etching, etc., which may cause high costs and are not suitable for consumer wearable applications. Here, we report a design for stretchable strain sensors based on a one-step patterned contact-resistance-free structure, i.e., the independent-sensing-and-stretchable-function structure (ISSFS). The stretchability mainly comes from the overall large deformation of the wide curved segments (the stretchable parts), while the resistance variation is mainly attributed to the tensile strain of the narrow straight segments (the sensing parts). High linearity (R2 = 0.999) and repeatability (repeatability error = 1.44%) are achieved because neither unstable contact resistance nor nonlinear constitutive and geometric behaviors occur during the sensing process. The conductive materials and the insulating substrates do not need to have different geometric layouts, thus they can be patterned by only one-step laser cutting. The proposed sensors show great potential in body-motion detection for wearable devices.

Proceedings ArticleDOI
23 Jan 2023
TL;DR: In this article , a two-step EUV mask cross-scale inspection (EMCI) method is proposed for fast identification of actinic defects and high-resolution review of the EUV masks.
Abstract: We have recently developed an actinic full-field EUV patterned mask inspection and review system on a tabletop by using a coherent high-harmonic generation (HHG) Extreme Ultra-violet (EUV) source. By adopting a combination of reflective-mode fly-scan scattering detecting and scanning coherent diffraction imaging methods, the actinic defects can be sensitively detected with high throughput and precisely reviewed with a finer resolution. In this work, we propose a model of a two-step EUV mask cross-scale inspection (EMCI) tactic for fast identification of actinic defects and high-resolution review of the EUV mask, which is based on difference analysis of diffracted intensities and precise ptychographic reconstruction of the EUV mask. The proposed EMCI model consists of two steps. In the first step, a fly-scan diffraction difference mapping (FDDM) method is applied to recognize and localize the defects from the EUV mask with full field of view. Thus, a sub-micron resolution defect location map is generated by array to array comparison of the diffracted intensities from the line integral of scanning regions with programmed defects, to regions of defect-free. This FDDM method works particularly in Fourier domain with no need to any form of imaging system, meanwhile, scattering information takes the advantage of high sensitivity to nanoscale defects, so that defects can be recognized and localized with high throughput and robustness. In the second step, with the location information of defects by FDDM, an EUV Ptychography (EUVP) method is applied to do the local review of EUV mask by retrieving the image of both the EUV mask and illumination based on ptychography. In this manuscript, utilizing the proposed EMCI model, we have performed a numerical simulation for EUV patterned mask defect inspection and review. The results reveal the performance of the proposed model in EUV mask metrology. The proposed method is particularly expected to have a remarkable implication for the EUV lithography.

Journal ArticleDOI
TL;DR: In this paper , a patterned metal on a β-Ga2O3 substrate surface was used as an embedded photomask to demonstrate the applicability of backside-exposure lithography to β-GA 2O3 substrates.
Abstract: β-Ga2O3 has not been patterned using backside-exposure lithography, despite its high potential applications in future power electronics and its transparency to conventional lithography light sources. Here, a patterned metal on a β-Ga2O3 substrate surface was used as an embedded photomask to demonstrate the applicability of backside-exposure lithography to β-Ga2O3 substrates. Self-aligned photoresist patterning, lift-off, and etching processes were demonstrated for an AZ5214E photoresist in both the positive and negative processing modes. The findings suggest that backside-exposure photolithography is a promising fabrication approach for future β-Ga2O3-based devices.

Journal ArticleDOI
TL;DR: In this article , a microfabrication method based on bifocal MLAs was proposed to improve the depth of field (DOF) in integral imaging display by using two-step photolithography and thermal reflow.
Abstract: Due to the limitation of traditional microlens arrays (MLAs) in integral imaging display, the depth of field (DOF) is restricted in space and the center depth plane is difficult to extend in a large range. Here, we propose a microfabrication method based on bifocal MLAs to improve DOF. The bifocal MLAs for extended DOF were fabricated by using two‐step photolithography and thermal reflow. This method allows diverse microlenses of high to low numerical aperture to achieve high spatial resolution as well as accurate depth estimation. Microlenses of different focal lengths were simultaneously deposited on a substrate by repeated photolithography with multiple photomasks with alignment mark to define micro‐posts of different thicknesses. Hexagonally packaged bifocal MLAs clearly show the DOF extended from 0.004 to 4.908 mm for 57.6 μm in lens diameter, and their corresponding object distance ranges from 0.125 to 0.165 mm. Based on the proposed scheme, this method provides potential applications in integral imaging 3D display or light field display.