scispace - formally typeset
Journal ArticleDOI

Full-Chip Routing Considering Double-Via Insertion

Reads0
Chats0
TLDR
A new full-chip gridless routing system considering double-via insertion for yield enhancement and a new redundant-via aware detailed maze routing algorithm (which could be applied to both gridless and grid-based routing).
Abstract
As the technology node advances into the nanometer era, via-open defects are one of the dominant failures due to the copper cladding process. To improve via yield and reliability, redundant-via insertion is a highly recommended technique proposed by foundries. Traditionally, double-via insertion is performed at the postlayout stage. The increasing design complexity, however, leaves very limited space for postlayout optimization. It is thus desirable to consider the double-via insertion at both the routing and postrouting stages. In this paper, we present a new full-chip gridless routing system considering double-via insertion for yield enhancement. To fully consider double vias, the router applies a novel two-pass, bottom-up routability-driven routing framework and features a new redundant-via aware detailed maze routing algorithm (which could be applied to both gridless and grid-based routing). We also propose a graph-matching based post-layout double-via insertion algorithm to achieve a higher insertion rate. In particular, the algorithm is optimal for grid-based routing with up to three routing layers and the stacked-via structure. Experiments show that our methods significantly improve the via count, number of dead vias, double-via insertion rates, and running times.

read more

Content maybe subject to copyright    Report

Citations
More filters
Book

Electronic Design Automation: Synthesis, Verification, and Test

TL;DR: EDA/VLSI practitioners and researchers in need of fluency in an "adjacent" field will find this an invaluable reference to the basic EDA concepts, principles, data structures, algorithms, and architectures for the design, verification, and test of VLSI circuits.
Journal ArticleDOI

NCTU-GR: Efficient Simulated Evolution-Based Rerouting and Congestion-Relaxed Layer Assignment on 3-D Global Routing

TL;DR: This work presents two routing techniques, namely circular fixed-ordering monotonic routing and evolution-based rip-up and rerouting using a two-stage cost function in a high-performance congestion-driven 2-D global router and proposes two efficient via-minimization methods.
Journal ArticleDOI

Fast and Optimal Redundant Via Insertion

TL;DR: This paper studies the problem of double-cut via insertion in a post-routing stage, where a single via can have, at most, one redundant via inserted next to it and the goal is to insert as many redundant vias as possible.
Book ChapterDOI

Global and detailed routing

TL;DR: This chapter first formulates the global and detailed routing as graph-search problems and examines the general-purpose routing algorithm, which includes the maze, line-search, and A*-search routing, and can be applied to both global andDetailed routing.
Proceedings ArticleDOI

Non-uniform multilevel analog routing with matching constraints

TL;DR: This paper presents an integer linear programming (ILP) formulation to simultaneously consider the three constraints for analog routing while minimizing total wirelength, bend numbers, via counts, and coupling noise at the same time, and presents a non-uniform multilevel routing framework to enhance the performance of the routing algorithm.
References
More filters
Book ChapterDOI

Reducibility Among Combinatorial Problems

TL;DR: The work of Dantzig, Fulkerson, Hoffman, Edmonds, Lawler and other pioneers on network flows, matching and matroids acquainted me with the elegant and efficient algorithms that were sometimes possible.

Reducibility Among Combinatorial Problems.

TL;DR: Throughout the 1960s I worked on combinatorial optimization problems including logic circuit design with Paul Roth and assembly line balancing and the traveling salesman problem with Mike Held, which made me aware of the importance of distinction between polynomial-time and superpolynomial-time solvability.
Book

Introduction to Graph Theory

TL;DR: In this article, the authors introduce the concept of graph coloring and propose a graph coloring algorithm based on the Eulers formula for k-chromatic graphs, which can be seen as a special case of the graph coloring problem.
Journal ArticleDOI

An Algorithm for Path Connections and Its Applications

TL;DR: The algorithm described in this paper is the outcome of an endeavor to answer the following question: Is it possible to find procedures which would enable a computer to solve efficiently path-connection problems inherent in logical drawing, wiring diagramming, and optimal route finding?
Proceedings ArticleDOI

Redundant-via enhanced maze routing for yield improvement

TL;DR: This paper proposes the first routing algorithm that considers feasibility of redundant via insertion in the detailed routing stage, and transforms the routing problem to a multiple constraint shortest path problem, and solved by Lagrangian relaxation technique.
Related Papers (5)