scispace - formally typeset
Open AccessProceedings ArticleDOI

Managing performance vs. accuracy trade-offs with loop perforation

Reads0
Chats0
TLDR
The results indicate that, for a range of applications, this approach typically delivers performance increases of over a factor of two (and up to a factors of seven) while changing the result that the application produces by less than 10%.
Abstract
Many modern computations (such as video and audio encoders, Monte Carlo simulations, and machine learning algorithms) are designed to trade off accuracy in return for increased performance. To date, such computations typically use ad-hoc, domain-specific techniques developed specifically for the computation at hand. Loop perforation provides a general technique to trade accuracy for performance by transforming loops to execute a subset of their iterations. A criticality testing phase filters out critical loops (whose perforation produces unacceptable behavior) to identify tunable loops (whose perforation produces more efficient and still acceptably accurate computations). A perforation space exploration algorithm perforates combinations of tunable loops to find Pareto-optimal perforation policies. Our results indicate that, for a range of applications, this approach typically delivers performance increases of over a factor of two (and up to a factor of seven) while changing the result that the application produces by less than 10%.

read more

Content maybe subject to copyright    Report

Citations
More filters
Journal ArticleDOI

Approximating Beyond the Processor: Exploring Full-System Energy-Accuracy Tradeoffs in a Smart Camera System

TL;DR: A systematic methodology to perform joint approximations across different subsystems, leading to significant energy benefits compared to approximating individual subsystems in isolation is proposed.
Proceedings ArticleDOI

Coupling proofs are probabilistic product programs

TL;DR: An extension of pRHL is defined, called x-pRHL, which explicitly constructs the coupling in a pRH derivation in the form of a probabilistic product program that simulates two correlated runs of the original program.
Proceedings ArticleDOI

Crayon: saving power through shape and color approximation on next-generation displays

TL;DR: The results show that Crayon's color transforms can reduce display power dissipation by over 66% while producing images that remain visually acceptable to users, and the measured whole-system power reduction is approximately 50%.
Proceedings Article

MEANTIME: achieving both minimal energy and timeliness with approximate computing

TL;DR: This paper proposes MEANTIME: a runtime system that delivers hard latency guarantees and energy-minimal resource usage through small accuracy reductions and finds that MEantIME never violates real-time deadlines and sacrifices a small amount of accuracy while reducing energy to 54% of a conservative, full accuracy approach.
Proceedings ArticleDOI

Conditionally correct superoptimization

TL;DR: This work combines abstract interpretation, decision procedures, and testing to yield a verification strategy that yields a superoptimizer for x86 that in the experiments produces binaries that are often multiple times faster than those produced by production compilers.
References
More filters
Proceedings ArticleDOI

LLVM: a compilation framework for lifelong program analysis & transformation

TL;DR: The design of the LLVM representation and compiler framework is evaluated in three ways: the size and effectiveness of the representation, including the type information it provides; compiler performance for several interprocedural problems; and illustrative examples of the benefits LLVM provides for several challenging compiler problems.
Journal ArticleDOI

The JPEG still picture compression standard

TL;DR: The Baseline method has been by far the most widely implemented JPEG method to date, and is sufficient in its own right for a large number of applications.
Proceedings ArticleDOI

The PARSEC benchmark suite: characterization and architectural implications

TL;DR: This paper presents and characterizes the Princeton Application Repository for Shared-Memory Computers (PARSEC), a benchmark suite for studies of Chip-Multiprocessors (CMPs), and shows that the benchmark suite covers a wide spectrum of working sets, locality, data sharing, synchronization and off-chip traffic.
Related Papers (5)