The experimental results demonstrate that the force-directed floorplanning technique can effectively suppress supply noise experienced by modules, reduce the total number of supply-noise margin violations, and achieve a floor-plan with considerably lower IR drop, as compared to a wire-length driven floorplan.
Abstract:
This paper proposes noise-direct, a design methodology for power integrity aware floorplanning, using microarchitectural feedback to guide module placement. Stringent power constraints have led microprocessor designers to incorporate aggressive power saving techniques such as clock-gating, that place a significant burden on the power delivery network. While the application of extensive clock-gating can effectively reduce power consumption, unfortunately, it can also induce large inductive noise (di/dt), resulting in signal integrity and reliability issues. To combat these problems, processors are usually designed for the worst-case current consumption scenario using adequate supply voltage and decoupling capacitances. To tackle high-frequency inductive noise and potential IR drops, we propose a novel design methodology that integrates microarchitectural profiling feedback into the floorplanning process. We present two microarchitectural metrics to quantify the noise susceptibility of a module:self weighting and correlation weighting. By using these metrics in a force-directed floorplanning algorithm to assign power pin affinity to modules, we can quickly converge to a design for average-case current consumption. By designing for the average-case and employing dynamic di/dt control for the worst-case, we can ensure that a chip is noise-tolerant without exceeding decap budget constraints. Our observations showed that certain functional modules in a processor exhibit consistent and highly correlated switching activity, that can be used to guide module placement distance from power pins. The experimental results demonstrate that the force-directed floorplanning technique can effectively suppress supply noise experienced by modules, reduce the total number of supply-noise margin violations, and achieve a floor-plan with considerably lower IR drop, as compared to a wire-length driven floorplan.
TL;DR: In this paper, a pipeline electronic circuit and design methodology enables power conservation in the stages of the pipeline via a simulation that identifies clock-gating opportunities among the stages in the pipeline.
TL;DR: A new dynamic inductive-noise controlling mechanism at the microarchitectural level that limit the on-die current demand within predefined bounds, regardless of the native power and current characteristics of running applications is proposed.
TL;DR: A tool dedicated to determining the on-chip VDD drops due to communication workload in NoCs, which integrates a fast power grid model, an NoC simulator, an on- chip link model, and a microarchitectural power model for router is presented.
TL;DR: Two efficient algorithms are proposed to reduce noise protection penalty and improve MPSoC performance and a lightweight online adjustment strategy accompanying the offline scheduling method is proposed to adapt to runtime variations and improve reliability.
TL;DR: An efficient on-line Greedy Heuristic (GH) algorithm that adapts well to real-time variation is proposed to reduce noise protection penalty and improve MPSoC performance.
TL;DR: Wattch is presented, a framework for analyzing and optimizing microprocessor power dissipation at the architecture-level and opens up the field of power-efficient computing to a wider range of researchers by providing a power evaluation methodology within the portable and familiar SimpleScalar framework.
TL;DR: The algorithm is capable of addressing the problems of global placement, floorplanning, timing minimization and interaction to logic synthesis, and its iterative nature assures that timing requirements are precisely met.
TL;DR: A methodology of VLSI layout described by several authors first determines the relative positions of indivisible pieces, called cells, on the chip and orientation optimization for more general layouts is shown to be NP-complete (in the strong sense).
TL;DR: Compared to postfloorplan approach, the peak power-supply noise can be reduced by as much as 40% and the decap budget can be reduction by asMuch as 21% by using noise-aware floorplanning methodology.
TL;DR: POWERS offers significantly increased performance over previous POWER designs by incorporating simultaneous multithreading, an enhanced memory subsystem, and extensive RAS and power management support.
Q1. What are the contributions mentioned in the paper "Noise-direct: a technique for power supply noise aware floorplanning using microarchitecture profiling" ?
This paper proposes Noise-Direct, a design methodology for power integrity aware floorplanning, using microarchitectural feedback to guide module placement. To tackle high-frequency inductive noise and potential IR drops, the authors propose a novel design methodology that integrates microarchitectural profiling feedback into the floorplanning process. The authors present two microarchitectural metrics to quantify the noise susceptibility of a module: self weighting and correlation weighting. By using these metrics in a force-directed floorplanning algorithm to assign power pin affinity to modules, the authors can quickly converge to a design for average-case current consumption. By designing for the average-case and employing dynamic di/dt control for the worst-case, the authors can ensure that a chip is noise-tolerant without exceeding decap budget constraints.
Q2. How can the authors ensure that the average case is more noise tolerant?
By coupling noise-aware floorplanning with dynamic di/dt control, the authors can guarantee that their floorplan for the average case is more noise tolerant.
Q3. What is the effect of di/dt on the processor?
In addition, with smaller devices and lower supply voltage, processors will become less tolerant to inductive noise induced by abrupt current fluctuation (di/dt).
Q4. What is the purpose of noise-tolerant floorplans?
By gathering switching correlation and characterizing dynamic current demands for target applications, the authors can provide essential metrics that can be used in the floorplanning process to generate a noise-aware floorplan aimed for average-case current consumption and switching activity.
Q5. How is the worst-case switching of an application determined?
The worst-case switching activity of an application is determined by sampling microarchitectural activity of all modules over the duration of the simulation.
Q6. What is the main reason for the excessive power demand in modern day processor design?
The excessive power demand has led to the use of aggressive techniques such as dynamic voltage/frequency scaling, clock or power gating, etc.
Q7. What is the way to address the worst-case noise?
The choice to address the worst-case current consumption is dependent on the designer, whereby noise can be addressed by decap alone, or by the incorporation of dynamic di/dt control.
Q8. What is the metric used to determine the amount of correlation between modules?
The first metric involves measuring module activity over the duration of a benchmark and assigning weights to modules that is proportional to the relative number of switches and the intensity of the switch.
Q9. What is the correlation factor for the gating of modules?
Since switching characteristics of modules vary from each other, the correlation factors have to be determined in a manner that ensures fairness.
Q10. What is the way to address the worst case inductive noise effects?
At the microarchitectural level, some techniques were proposed to address the worst case inductive noise effects due to applying power saving techniques [23, 21, 22, 19, 20, 10].
Q11. What is the difference between the force-directed method and other methods?
Compared with other methods such as Simulated Annealing [16], slicingmethod [24], and analytical approach [25], force-directed method does not require tedious parameter tuning and converges quickly while obtaining high quality solutions [8].