scispace - formally typeset
Journal ArticleDOI

Write Variation Aware Buffer Assignment for Improved Lifetime of Non-Volatile Buffers in On-Chip Interconnects

Reads0
Chats0
TLDR
This paper attempts to reduce static power consumption by using non-volatile memory technology-based spin-transfer torque random access memory (STT-RAM) buffers to reduce write variation to almost 0% and improve lifetime by 3.3 and 19.9 times for intra-VNet and inter-V net, respectively.
Abstract
With multiple cores integrated on the same die, communication across cores is managed by on-chip interconnect called network-on-chip (NoC). Power and performance of these interconnect is a significant factor as the communication network consumes a considerable share of the power budget. In particular, the buffers used at every port of the NoC router consume considerable dynamic as well as static power. This paper attempts to reduce static power consumption by using non-volatile memory technology-based spin-transfer torque random access memory (STT-RAM) buffers. STT-RAM technology has the advantage of high density and low leakage but suffers from weaker write endurance. This impacts the lifetime of the router as a whole. The buffers in a router are allocated to virtual networks (VNets) and in-turn to virtual channels (VCs) within each VNet. To reduce uneven writes across the buffers, we propose policies to reduce intra-VNet write variation and inter-VNet write variation. The former performs write variation aware VC allocation in each VNet, and the latter does write variation aware buffer assignments to each VNet. Experimental evaluation on full system simulator shows that proposed policies reduce write variation to almost 0% and improve lifetime by 3.3 and 19.9 times for intra-VNet and inter-VNet, respectively. We also get significant gains in the energy delay product.

read more

Citations
More filters
Journal ArticleDOI

Challenges and future directions for energy, latency, and lifetime improvements in NVMs

TL;DR: Kargar and Nawab as mentioned in this paper explored state-of-the-art work on deploying NVMs in database and storage systems communities and the ways their limitations are being handled within these communities.
Proceedings ArticleDOI

DidaSel: dirty data based selection of VC for effective utilization of NVM buffers in on-chip interconnects

TL;DR: A write reduction technique, which is based on dirty flits present in write-back data packets, which results in a significant decrease in total and dynamic network power consumption and shows remarkable improvement in the lifetime.
Journal ArticleDOI

Investigating Frequency Scaling, Nonvolatile, and Hybrid Memory Technologies for On-Chip Routers to Support the Era of Dark Silicon

TL;DR: Keep the routers always powered ON to maintain constant connectivity and investigate various approaches to use a combination of SRAM and nonvolatile spin-transfer torque random access memory-based VCs in the routers, which yield significant energy savings while maintaining connectivity.
Proceedings ArticleDOI

NS-FTL: Alleviating the Uneven Bit-Level Wearing of NVRAM-based FTL via NAND-SPIN

TL;DR: The experimental results show that the proposed design can effectively avoid the uneven bit-level wearing, when compared with page-based FTL on NAND-SPIN.
References
More filters
Journal ArticleDOI

Elastic Buffer Flow Control for On-Chip Networks

TL;DR: A hybrid EB-VC router is proposed which provides an arbitrary number of traffic classes by using an input buffer to drain flits facing severe contention or deadlock and offers 21 percent more throughput per unit power than VC networks and 12 percent than EB networks.
Proceedings ArticleDOI

A Hybrid Buffer Design with STT-MRAM for On-Chip Interconnects

TL;DR: This work proposes a hybrid design of input buffers using both SRAM and STT-MRAM to hide the long write latency efficiently and provides a lazy migration scheme that reduces the dynamic power consumption of the hybrid buffer.
Journal ArticleDOI

Hybrid Drowsy SRAM and STT-RAM Buffer Designs for Dark-Silicon-Aware NoC

TL;DR: DimNoC, a dim silicon scheme, is proposed, which leverages recent drowsy SRAM design and spin-transfer torque RAM (STT-RAM) technology to replace pure SRAM-based NoC buffers and enables NoC data retention mechanism, which can improve network performance and power simultaneously.
Proceedings ArticleDOI

Adaptive inter-router links for low-power, area-efficient and reliable Network-on-Chip (NoC) architectures

TL;DR: iDEAL enables a reduction in the router buffer size by controlling the repeaters along the links to adaptively function as link buffers during congestion, thereby achieving nearly 30% savings in overall network power and 35% reduction in area with only a marginal 1 – 3% drop in performance.
Journal ArticleDOI

Towards High-Performance Bufferless NoCs with SCEPTER

TL;DR: SCEPTER is presented, a high-performance bufferless mesh NoC that sets up single-cycle virtual express paths dynamically across the chip, allowing deflected packets to go through non-minimal paths with no latency penalty.
Related Papers (5)