scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2011"


Patent
25 Apr 2011
TL;DR: In this article, a phase shift mask having a checkerboard array and a surrounding sub-resolution assist phase pattern is described. And the method of forming LEDs using the phase-shift mask is also disclosed.
Abstract: A phase-shift mask having a checkerboard array and a surrounding sub-resolution assist phase pattern. The checkerboard array comprises alternating phase-shift regions R that have a relative phase difference of 180 degrees. The sub-resolution assist phase regions R′ reside adjacent corresponding phase-shift regions R and have a relative phase difference of 180 degrees thereto. The sub-resolution assist phase regions R′ are configured to mitigate undesirable edge effects when photolithographically forming photoresist features. Method of forming LEDs using the phase-shift mask are also disclosed.

22 citations


Proceedings ArticleDOI
TL;DR: In this paper, the phase difference in the substrate prior to the multilayer (ML) is determined by [λ/(4cosθ)](2m+1), where m are integers (0, 1, 2,...).
Abstract: Extreme ultra-violet Lithography (EUVL) alternating phase shift mask (APSM) or other optical enhancement techniques are likely needed for 16nm (half pitch) technology generation and beyond. One possible option is the combination of EUVL and APSM. The fabrication of EUVL APSM is more difficult than either the fabrication of an EUVL binary mask or a conventional optical APSM mask. In the case of EUVL APSM, the phase difference in the two regions (0 and 180-degree phase regions) is created by a phase step in the substrate prior to the multilayer (ML) coating. The step height that induces 180-degree phase mismatch in the ML is determined by [λ/(4cosθ)](2m+1), where m are integers (0, 1, 2,...). In this experiment, we targeted for a step height with m=1. The same mask design also contains the standard binary structures so that the comparison between the EUVL APSM and the EUVL binary mask can be performed under the same illumination and wafer process conditions. The EUVL APSM mask was exposed using Nikon's EUV1 scanner in Kumagaya Japan. The wafer level results showed higher dense line resolution for EUVL APSM as compared to that of EUVL binary mask. APSM also showed improved line width roughness (LWR) and depth of focus (DoF) as compared to the best EUVL binary results obtained with C-dipole off-axis illumination (OAI). The wafer CD resolution improvement obtained by APSM in this experiment is partially limited by the resist resolution and the mask phase edge spread during ML deposition. We believe that wafer CD resolution and can further be improved with imaging imbalance compensation mask design and improvements in resist resolution and the phase generation portion of the mask fabrication process. In this paper, we will discuss in detail the mask fabrication process, wafer level data analysis, and our understanding of EUVL APSM related issues.

14 citations


Patent
05 Jan 2011
TL;DR: The phase shift mask (13P1) as mentioned in this paper is a phase difference of 180 DEG that can produce a high precision exposure pattern in an environment containing more than 40% and less than 90% of nitriding gas and more than 10% of oxidizing gas.
Abstract: The present invention provides a method for manufacturing a phase shift mask, a method for manufacturing a flat panel display, and a phase shift mask, wherein a thin and high precision exposure pattern may be formed by means of the phase shift mask. In a first embodiment of the invention, the phase shift mask (1) includes a phase shift layer (13P1), capable of making any light which wavelength is more than 300nm and less than 500nm to generate a phase difference of 180 DEG. Thereby, when the light of the wavelength range is used for exposing, the phase of the light will be reversed in the phase shift layer to form an area having minimum light intensity, such that the exposure pattern is more clear. In an environment containing more than 40% and less than 90% of nitriding gas and more than 10% and less than 35% of oxidizing gas, the phase shift layer (13P) is formed by sputtering a target made of chrome material.

11 citations


Patent
08 Apr 2011
TL;DR: In this paper, a phase shift mask blank and a manufacturing method capable of increasing the mask service life and of improving the resistance to light of an optical semitransparent film (a phase shift film) configured from a material having as main components nitrogen, silicon and a transition metal for exposure light of wavelength 200nm or less are presented.
Abstract: Disclosed are a phase shift mask blank and a manufacturing method thereof capable of increasing the mask service life and of improving the resistance to light of an optical semitransparent film (a phase shift film) configured from a material having as main components nitrogen, silicon and a transition metal for exposure light of wavelength 200nm or less; also disclosed is the phase shift mask. The phase shift mask blank, which is used for creating phase shift masks suitable for ArF excimer laser exposure, is provided with an optical semi-transmissive film on a transparent substrate, wherein the optical semi-transmissive film is configured from an incomplete nitridation film having as main components nitrogen, silicon and a transition metal, and the content ratio of the transition metal to the transition metal and the silicon in the optical semi-transmissive film is less than 9%.

8 citations


Patent
08 Apr 2011
TL;DR: In this paper, a phase shift mask blank is used to improve the irradiation durability of a light-semitransmissive film (phase shift film), made of a material containing mainly a transition metal, silicon, and nitrogen to exposure light having a wavelength of 200 nm or less.
Abstract: Provided are a phase shift mask blank that is improved in the irradiation durability of a light-semitransmissive film (phase shift film), made of a material containing mainly a transition metal, silicon, and nitrogen, to exposure light having a wavelength of 200 nm or less and thus can improve the mask lifetime, a method of manufacturing such a phase shift mask blank, and a phase shift mask. The phase shift mask blank is used for manufacturing a phase shift mask adapted to be applied with ArF excimer laser exposure light. The phase shift mask blank has a light-semitransmissive film on a transparent substrate. The light-semitransmissive film is an incomplete nitride film containing mainly a transition metal, silicon, and nitrogen. The content ratio of the transition metal to the transition metal and the silicon in the light-semitransmissive film is less than 9%.

7 citations


Patent
02 Jun 2011
TL;DR: In this article, a multilayer halftone-type phase shift mask blank is provided, in which a transmittance suppression effect that develops according to a high transmittation layer having occurred when using a conventional two-layer or multilayers film in the wavelength range of 140-200 nm including the wavelength 157 nm of an F 2 excimer laser, does not become a problem.
Abstract: PROBLEM TO BE SOLVED: To provide a multilayer halftone type phase shift mask in which a transmittance suppression effect that develops according to a high transmittance layer having occurred when using a halftone type phase shift mask comprising a conventional two layer or multilayer film in the wavelength range of 140-200 nm including the wavelength 157 nm of an F 2 excimer laser, does not become a problem; and also to provide a manufacturing method of the mask blank. SOLUTION: In the manufacturing method of a halftone type phase shift mask blank, a phase shifter film comprises two layers of a lower transmittance layer whose main function is transmittance adjustment and a higher transmittance layer whose main function is the adjustment of a phase shift amount; and when an extinction coefficient of the lower transmittance layer is given as K 1 and an extinction coefficient of the higher transmittance layer is given as K 2 , in the wavelength of exposure λ selected from the range of the wavelength 140-200 nm, and when the film thickness of the lower transmittance layer is given as d 1 within the range of K 2 1 ≤3.0, in the wavelength of exposure λ, 0.001≤K 1 d 1 /λ≤0.500 is established. COPYRIGHT: (C)2011,JPO&INPIT

6 citations


Patent
30 Sep 2011
TL;DR: In this paper, the inverse lithography technique identifies an objective function, minimizes the objective function in relation to a simulation of the optical lithographic process, such that the transmission value, which is greater than 6%, may be determined.
Abstract: Various implementations of the invention provide for generation of a high transmission phase shift mask layout through inverse lithography techniques. In various implementations of the present invention, a set of mask data having a plurality of pixels is generated. The transmission value associated with each pixel may then be determined through an inverse lithography technique. With various implementations of the invention, the inverse lithography technique identifies an objective function, minimizes the objective function in relation to a simulation of the optical lithographic process, such that the transmission value, which is greater than 6%, may be determined.

5 citations


Proceedings ArticleDOI
TL;DR: In this article, the authors compare the performance of clear-field and dark-field images when mask patterns are optimized for respective mask tones, and find that the amount of improvement depended on the size of the mask patterns relative to their corresponding targets, set here by varying the intensity threshold for the images during mask optimization.
Abstract: Clear-field photo-masks offer significant advantages over dark-field photo-masks for some important classes of target patterns, including small isolated features and dense arrays of contacts. This work compares lithographic performance of clear-field and dark-field images when mask patterns are optimized for respective mask tones. Since the purpose is to study optical behavior, computed images without resist models were compared. In order to explore performance limits, optimized masks were not constrained to limit their complexity. Calculated images were compared for clear-field and dark-field masks, with either opaque or 6% transmission, 180-degree phase-shifted absorbers. In each case, mask patterns were independently optimized to print the targets, which were a set of square and rectangular arrays of contact holes with various dimensions and pitches. The range of the target patterns extended to the limits of ArF resolution with water immersion. Because the intent was to compare inherent optical performance of positive and negative-tone imaging, the study did not use resist models that would combine materials properties or behaviors into the results, but simply applied a constant threshold to calculated intensities to obtain images. Contrast, MEEF, and deviation of images with defocus were the basis of optimizing the mask patterns, and were compared for the four combinations of mask tones and absorbers. Best contrast and MEEF were obtained with bright-field masks that had attenuated, phase-shifting absorbers. The amount of improvement depended on the size of the mask patterns relative to that of their corresponding targets, set here by varying the intensity threshold for the images during mask optimization. Differences in how the images of the four types of masks changed with defocus were statistically insignificant.

5 citations


Patent
Hyun Jo Yang1
23 Sep 2011
TL;DR: In this article, a method for fabricating a fine pattern includes forming a first photomask including first light transmission regions set in a line shape over a PSM region and a first binary mask region adjacent to the first phase shift mask region.
Abstract: A method for fabricating a fine pattern includes forming a first photomask including first light transmission regions set in a line shape over a first phase shift mask (PSM) region and a first binary mask (BM) region adjacent to the first phase shift mask region A second photomask may be formed to include second light transmission regions set in a line shape over a second phase shift mask region and a second binary mask region adjacent to the second phase shift mask region, wherein the second light transmission regions intersect the first light transmission regions A resist layer may first be exposed using the first photomask and secondly exposed using the second photomask The first and secondly exposed resist layer may be developed to form resist patterns with open regions corresponding to portions where the first light transmission regions intersect the second light transmission regions

5 citations


Patent
Choong Han Ryu1
09 Sep 2011
TL;DR: In this article, a method for correcting the critical dimension (CD) of a phase shift mask is proposed, where the intensity slope of the phase shift waveform is calculated by scanning an electron beam spot to a hard mask pattern on a substrate.
Abstract: A method for correcting the critical dimension (CD) of a phase shift mask includes calculating an intensity slope quantifying a slope of an intensity waveform of secondary electrons emitted by scanning an electron beam spot to a hard mask pattern on a phase shift mask on a substrate, extracting a delta critical dimension (CD) value, which is equal to a CD difference between the phase shift pattern and the hard mask pattern, as a delta CD value corresponding to the intensity slope, and correcting the CD of the phase shift mask by using the extracted delta CD value.

4 citations


Patent
01 Apr 2011
TL;DR: In this article, a phase shift mask was constructed by sputtering a Cr-based target in an environment having mixture of 40%-90% of nitrating gas and 10%-35% of oxidizing gas.
Abstract: The invention relates to forming a method for producing a phase shift mask, a method capable of high precision exposure (pictures) for producing a flat panel display, and a phase shift mask manufactured according to said method. A type of phase shift mask 1 of said invention comprising a phase shift layer 13P1 capable of forming a 180 DEG phase shift difference for light in the wavelength range between 300 nm and 500 nm. By utilizing light of said wavelength range for exposure and utilizing phase reversal reaction to strengthen light in a smallest area, the exposed image may be formed more vividly. The phase shift layer 13P can be formed by sputtering Cr-based target in an environment having mixture of 40%-90% of nitrating gas and 10%-35% of oxidizing gas.

Patent
27 Jan 2011
TL;DR: In this article, the phase-shift mask is corrected using a half-tone phase shift mask, which can accurately correct a micropattern without degrading throughput, damaging glass substrates, or leaving correction traces.
Abstract: PROBLEM TO BE SOLVED: To provide a method for correcting a phase-shift mask, wherein the method can accurately correct a micropattern without degrading throughput, damaging glass substrates and other half-tone patterns, or leaving correction traces, when correcting black defects in a half-tone phase-shift mask, and to provide a corrected phase-shift maskSOLUTION: The black defects of the phase-shift mask, caused in a half-tone layer, are corrected in a step of manufacturing the half-tone phase-shift mask The method for correcting the phase-shift mask includes temporarily stopping etching the half-tone layer 21, in the middle of the etching, to be in a half-etching state; inspecting and correcting the black defects 71 of the half-tone layer 21 in the half-etching state; and thereafter etching remaining half-tone layer 21 to a surface of the transparent substrate 11 to form the half-tone pattern 22

Patent
21 Nov 2011
TL;DR: In this article, a light pattern irradiation method consisting of irradiation with an ArF excimer laser light from a light source through a halftone phase shift mask was proposed.
Abstract: PROBLEM TO BE SOLVED: To prevent pattern size variation or deterioration caused by irradiation with ArF excimer laser light.SOLUTION: A light pattern irradiation method comprises light pattern irradiation with an ArF excimer laser light from a light source through a halftone phase shift mask. The mask includes a transparent substrate and a pattern of halftone phase shift film of a material comprising a transition metal, silicon, nitrogen and oxygen and having a composition of a transition metal-to-silicon atomic ratio (Met/Si) of 0.18-0.25, a nitrogen content of 25-50 atom%, and an oxygen content of 5-20 atom%. The mask is irradiated with ArF excimer laser light at a cumulative dose of at least 10 kJ/cm. Thereby, light pattern irradiation in photolithography is performed for a longer time than in the prior art without significant pattern size variation or deterioration of light patterns.

Patent
07 Sep 2011
TL;DR: In this paper, focused ion beam is used to etch a transparent substrate of the phase shift mask, from which a defect is detected, and the critical etching number is determined to determine the etching depth.
Abstract: The invention discloses a method for repairing phase shift mask defect, which is used in the condition that focused ion beam is utilized to etch a transparent substrate of the phase shift mask, from which a defect is detected. The method comprises steps as follows: setting the critical etching numbers of the focused ion beam; and etching the transparent substrate where the defect locates by the focused ion beam to the critical etching number. The invention uses focused ion beam to etch the substrate area to be repaired till that the phase delay caused by the thickness of the area is closed toor reaches the requirement absence of defects and the critical etching number is used to determine the etching depth, thereby breaking the bottle neck of the prior art and satisfying the specificationrequirement of the phase shift mask that can not be well repaired in the prior art.

Patent
29 Mar 2011
TL;DR: In this paper, a method for forming a phase shift mask for preventing bridge between patterns on a wafer is provided to prevent the bridge by shifting a phase on a phase-shift area, which corresponds to the pattern formed on both sides of the part with a bridge on the wafer.
Abstract: PURPOSE: A method for forming a phase shift mask for preventing bridge between patterns on a wafer is provided to prevent the bridge by shifting a phase on a phase shift area. CONSTITUTION: A quartz plate(10) and a phase shift layer pattern are laminated on a phase shift mask(100). The thickness of the phase shift layer pattern is partially controlled on a phase shift mask. A pattern shift layer pattern area corresponds to the pattern formed on both sides of the part with a bridge on the wafer. The phase of a phase shift layer(20) is 180 degrees before the thickness is controlled. The phase of the phase shift layer(20a) is between 100 and 150 degrees after the thickness is controlled. The partial thickness of the upper side of the phase shift layer is etched by irradiating laser or E-beam to the upper side of the phase shift layer.


Journal ArticleDOI
TL;DR: In this paper, a novel sub-diffraction-limited photolithography design with demagnification and high contrast features has been presented, where an alternating phase shift mask (Alt-PSM) is used for shifting phase between adjacent adjacent aperture pairs through the phase shifter, and the interference of diffracted evanescent waves from subwavelength apertures at the surface.

Patent
10 Jun 2011
TL;DR: In this article, a half tone phase shift mask is used to make the thickness of a resist pattern different according to an area of a mask substrate, thereby reducing foreign materials and defects.
Abstract: PURPOSE: A method for manufacturing a half tone phase shift mask is provided to make the thickness of a resist pattern different according to an area of a mask substrate, thereby reducing foreign materials and defects. CONSTITUTION: A phase shift film(202), a light shielding film(204), and a resist film(206) are formed on a substrate. A light exposure dose is different according to a light transmitting area, a phase shift area, and a light shielding area to expose the resist film to light. The exposed resist film is developed to form a resist pattern. The light shielding film and the phase shift film are etched by the resist pattern as a mask. The light shielding film of the light shielding area is etched. A remaining resist pattern is eliminated.

Patent
Bin Hu1, Vivek K. Singh, Sungwon Kim, Chulwoo Oh, Mehmet E. Yavuz 
29 Dec 2011
TL;DR: Improved mask layout patterns for closely spaced primitives in phase shift photolithography masks have been described in this paper, where the mask layout is corrected using the synthesized electric field and a printed wafer pattern is calculated.
Abstract: Improved mask layout patterns are described for closely spaced primitives in phase shift photolithography masks. In one example, at least a portion of a photolithography mask layout is decomposed into primitives. Jogs are identified from among the primitives, the jogs being characterized by three adjacent corners. E-fields are determined for the identified jogs and are applied to synthesize an electric field at a substrate. The mask layout is corrected using the synthesized electric field and a printed wafer pattern is calculated.

Patent
29 Apr 2011
TL;DR: In this article, a method for manufacturing a phase shift mask is provided to improve the accuracy of a complete phase shift film pattern by compensating the compensating amount of an accurate critical dimension.
Abstract: PURPOSE: A method for manufacturing a phase shift mask is provided to improve the accuracy of a complete phase shift film pattern by compensating a phase shift film pattern based on the compensating amount of an accurate critical dimension. CONSTITUTION: A phase shift film and a light blocking film are formed on a mask substrate(200). A first resist pattern is formed on the light blocking film. The light blocking film and the phase shift film are patterned to form a light blocking pattern(220a) and a phase shift film pattern(210a). The first resist pattern is eliminated, and a second resist pattern is formed. The light blocking film is eliminated using the second resist pattern as a mask. The critical dimension of the phase shift film pattern is measured. A capping film is formed. The capping film is eliminated, and the phase shift film pattern is etched to compensate the critical dimension of the phase shift film pattern.


Patent
07 Jul 2011
TL;DR: In this article, a method for manufacturing a phase shift mask is provided to improve the accuracy of linewidth correction by controlling variables which affects a linwidth correction process, which can be found in the following:
Abstract: PURPOSE: A method for manufacturing a phase shift mask is provided to improve the accuracy of linewidth correction by controlling variables which affects a linewidth correction process. CONSTITUTION: A phase shift layer and a light shielding layer are formed on a substrate(100). A first resist pattern is formed to which selectively exposes a part of the surface of the light shield layer A monitoring pattern is formed on a frame region(B) by etching a light shielding layer frame pattern using a second resist pattern as an etch mask. A phase shift layer pattern(140a) is exposed by etching the light shielding layer pattern of the monitoring pattern. A phase shift layer main pattern(145) is arranged on the main cell region(A) of the substrate.

Patent
20 Jul 2011
TL;DR: In this article, an attenuated phase shift mask production method was proposed, which comprises the following steps of: forming a first phase shift layer, a second phase shift layers and a photoresist layer on the surface of a layer to be etched in turn; transferring a design graph to the photoresists layer by exposing the photoregressive layer; etching the first phase-shift layer and the second phase-shifted layer according to the graph on the photorsist layer; forming an etching barrier layer on surface of the second-phase shift layer in a first
Abstract: The invention discloses an attenuated phase shift mask production method. The method comprises the following steps of: forming a first phase shift layer, a second phase shift layer and a photoresist layer on the surface of a layer to be etched in turn; transferring a design graph to the photoresist layer by exposing the photoresist layer; etching the first phase shift layer and the second phase shift layer according to the graph on the photoresist layer; forming an etching barrier layer on the surface of the second phase shift layer in a first area; removing the second phase shift layer in a second area; and forming grooves in the layer to be etched exposed in the second area, wherein the grooves and light rays caused by the first phase shift layer in the second area have phase shift of pi. An attenuated phase shift mask provided by the attenuated phase shift mask production method respectively meets different requirements of logic components and storage components on a process window and a side lobe effect, saves cost and improves yield and production efficiency.

Proceedings ArticleDOI
20 Nov 2011
TL;DR: In this paper, a new kind of phase mask called composite phase mask is designed by combining existing phase masks, which can be made even less sensitive to defocus than any single phase mask.
Abstract: Since 1995 when wave-front coding was first proposed by Dowski and Cathey, this technique has become a powerful tool to extend the DOF (depth of field) of incoherent imaging systems. By introducing a phase mask to the aperture plane, the optical transfer function (OTF) can be made insensitive to defocus and thus the key to this technique lies in the design of suitable phase masks. So far, many phase masks have been proposed to achieve the goal of DOF extension. In this paper, a new kind of phase mask, called composite phase mask, is designed by combining existing phase masks. With the optimized parameters, the composite phase mask can be made even less sensitive to defocus than any single mask. At the same time, as the number of phase masks used to generate one composite phase mask increases, the DOF extension effect can also be changed. Both the simulations and experiments demonstrate the effectiveness of the composite phase masks and the work reported also enriches the phase mask family used to realize the large DOF effect.

Patent
15 Apr 2011
TL;DR: In this article, a phase shift mask and a method for manufacturing the same are provided to prevent the change of a phase and the transmittance by completely eliminating impurities on the phase shift masks.
Abstract: PURPOSE: A phase shift mask and a method for manufacturing the same are provided to prevent the change of a phase and the transmittance by completely eliminating impurities on the phase shift mask. CONSTITUTION: A substrate(100) is prepared. A phase shift mask is formed on the substrate. A light-blocking film is formed. A hard mask film is formed. A photosensitive film mask is formed. A hard mask pattern is formed using the photosensitive film mask. A light-blocking pattern and a phase shift film pattern(115) are formed using the hard mask film. A phase shift pattern(118) is formed by partially etching the light-blocking pattern and etching partially exposed substrate.

Patent
17 Oct 2011
TL;DR: In this paper, a gate dielectric layer and a first photoresist layer on a substrate were removed and a second phase shift mask was used to photolithographically form a plurality of polysilicon word lines.
Abstract: A mask ROM fabrication method which comprises steps: sequentially forming a gate dielectric layer and a first photoresist layer on a substrate; letting a light having a wavelength of 365 nm pass through a first phase shift mask to photolithographically form on the first photoresist layer a plurality of first trenches having a width of 243-365 nm; doping the substrate to form a plurality of embedded bit lines having a width of 243-365 nm; removing the first photoresist layer; sequentially forming a polysilicon layer and a second photoresist layer on the gate dielectric layer; and letting the light pass through a second phase shift mask to photolithographically form a plurality of polysilicon word lines on the polysilicon layer. Thereby is reduced the line width of mask ROM to 243-365 nm and decreased the area of mask ROM.

Proceedings ArticleDOI
29 Apr 2011
TL;DR: In this paper, the authors presented a methodology for deriving an acceptable mask defect size using defect printability, based on an acceptable wafer critical dimension (CD) variation that takes device performance into consideration.
Abstract: Based on an acceptable wafer critical dimension (CD) variation that takes device performance into consideration, we presented a methodology for deriving an acceptable mask defect size using defect printability [1]-[3]. The defect printability is measurable by Aerial Image Measurement System (AIMS TM ) and simulated by lithography simulation without exposure. However, the defect printability of these tools is not always the same as the actual one. Therefore, the accuracy of these tools is confirmed by fabricating the programmed defect mask and exposing this mask on wafer. Advanced Binary Film (ABF) photomask has recently been studied as a substitute for the conventional MoSi phase shift mask. For ABF photomask fabrication, mask performance for process and guarantee for mask defects by repair and inspection are important. With regard to the mask performance, the ABF photomask has high performance in terms of resolution of pattern making, placement accuracy, and cleaning durability [4]. With regard to the guarantee for mask defects, it has already been confirmed that the defect on the ABF photomask is repairable for both clear and opaque defects. However, it has not been evaluated for inspection yet. Therefore, it is necessary to evaluate the defect printability, to derive the acceptable mask defect size, and to confirm the sensitivity of mask inspection tool. In this paper, the defect printability of the ABF photomask was investigated by the following process. Firstly, for opaque and clear defects, sizes and locations were designed as parameters for memory cell patterns. Secondly, the ABF programmed defect mask was fabricated and exposed. Thirdly, mask defect sizes on the ABF programmed defect mask and line CD variations on the exposed wafer were measured with CD-SEM. Finally, the defect printability was evaluated by comparing the correlation between the mask defect sizes and the wafer line CD variations with that of the AIMSTM and the lithography simulation. From these results, the defect printability of AIMS TM was almost the same as the actual one. On the other hand, the defect printability of the lithography simulation was relaxed from the actual one for the isolated defect types for both clear and opaque defects, though the defect printability for the edge defect types was almost the same. Additionally, the acceptable mask defect size based on the actual defect printability was derived and the sensitivity of the mask inspection tool (NPI-7000) was evaluated. Consequently, the sensitivity of the NPI-7000 was detectable for the derived acceptable mask defect size. Therefore, it was confirmed that the ABF photomask could be guaranteed for mask defects.

Patent
12 Jan 2011
TL;DR: In this paper, a phase shift mask plate structure and a manufacturing method are presented, which consists of a mask plate substrate, an extinction layer, and a selective epitaxial deposition protective film arranged on the phase shift extinction layer.
Abstract: The invention provides a phase shift mask plate structure and a manufacturing method thereof. The phase shift mask plate structure comprises a mask plate substrate, a phase shift extinction layer arranged on the mask plate substrate, and a selective epitaxial deposition protective film arranged on the phase shift extinction layer, wherein the selective epitaxial deposition protective film is silica, polycrystalline silicon, silicon carbide, silicon oxynitride, carbon silicon oxide or silicon nitride. The phase shift mask plate structure and the manufacturing method thereof have the advantages of saving metal chromium used by the conventional mask plate, reducing the manufacturing cost, replacing the metal chromium by a material with high wet-etching selection ratio on the phase shift layer by depending on the selective epitaxial deposition technology, reducing the damage of secondary dry-etching technological operation to the mask plate, and improving the performance and yield of the mask plate.