scispace - formally typeset
Search or ask a question

Showing papers on "Phase-shift mask published in 2015"


Journal ArticleDOI
TL;DR: In this article, a liquid-crystal-based phase shift mask that utilizes the Pancharatnam-Berry phase for super-resolution photolithography is presented. But the minimum feature size is not restricted by the diffraction limit; here, they obtain submicron features.
Abstract: We present a novel liquid-crystal-based phase-shift mask that utilizes the Pancharatnam–Berry phase for super-resolution photolithography. Using an automated maskless photoalignment technique, we pattern an azobenzene alignment layer in a nematic liquid-crystal cell to fabricate the mask. Since the image is formed by phase cancellation, the minimum feature size is not restricted by the diffraction limit; here, we obtain submicron features. The liquid-crystal properties of the cell allow the mask to be switched on and off by applying a voltage. The cost effectiveness and flexibility of this technique make it a promising new technology for photolithography.

14 citations


Patent
07 Oct 2015
TL;DR: In this article, a mask blank with phase shift film where changes in transmittance and phase shift to an exposure light of an ArF excimer laser are suppressed is presented.
Abstract: A mask blank with phase shift film where changes in transmittance and phase shift to an exposure light of an ArF excimer laser are suppressed. The film transmits light of an ArF excimer laser at a transmittance of 2% or more and less than 10% and generates a phase difference of 150 degrees or more and 190 degrees or less between the exposure light transmitted through the phase shift film and the exposure light transmitted through the air for the same distance as a thickness of the phase shift film. The film has a stacked lower layer and upper layer, the lower layer containing metal and silicon and substantially free of oxygen. The upper layer containing metal, silicon, nitrogen, and oxygen. The lower layer is thinner than the upper layer, and the ratio of metal to metal and silicon of the upper layer is less than the lower layer.

11 citations



Patent
14 Dec 2015
TL;DR: In this paper, a mask blank is used for manufacturing a half tone type phase shift mask employing ArF excimer laser exposure light, which has light transmittance within a range of 3-40% in a wavelength of the ArF exposure light.
Abstract: PROBLEM TO BE SOLVED: To provide a mask blank having an excellent transfer property in photo lithography, as well as high irradiation resistance against ArF excimer laser exposure light and cleaning resistance.SOLUTION: A mask blank 100 is used for manufacturing a half tone type phase shift mask employing ArF excimer laser exposure light. The mask blank 100 includes a transparent substrate and a light translucent film 102 formed on the transparent substrate 100 and configured by laminating a layer 102a including SiON(in which x and y satisfy 0

9 citations


Patent
30 Sep 2015
TL;DR: In this article, a phase shift mask was provided to reduce the thickness of a light-shielding film and clear the problems of ArF light resistance when using a transition metal silicide-based material as a light shielding film.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask reducing thickness of a light-shielding film and capable of clearing problems of ArF light resistance when using a transition metal silicide-based material as a light-shielding film and a mask blank for manufacturing the same.SOLUTION: There is provided a mask blank 10 having a phase shift film 2, an etching stopper film 3, a light-shielding film 4 and a hard mask film 5 on a transparent substrate 1, the phase shift film 2 is formed by a material having ArF light resistance and at least one layer of the light-shielding film 4 is formed by a material containing a transition metal, silicon and nitrogen and satisfying a condition of the formula (1). C≤9.0×10×R-1.65×10×R-7.718×10×R+3.611×R-21.084 Formula (1), where Ris a ratio of the content of the transition metal to the total content of the transition metal and the silicon and Cis the content of the nitrogen in the one layer.SELECTED DRAWING: Figure 1

8 citations


Patent
24 Feb 2015
TL;DR: In this article, a mask blank has a structure in which, on a transparent substrate, a phase shift film, a light shielding film, and a hard mask film are laminated in the stated order from the transparent substrate side.
Abstract: A mask blank having a structure in which, on a transparent substrate, a phase shift film, a light shielding film, and a hard mask film are laminated in the stated order from the transparent substrate side. The phase shift film is formed of a material containing silicon, the hard mask film is formed of a material containing at least one element selected from silicon and tantalum, and the light shielding film is formed of a material containing chromium. The mask blank has a structure in which the following three layers: a lower layer, an intermediate layer, and an upper layer are laminated, the upper layer having a highest content of chromium in the light shielding film, the intermediate layer having a lowest content of chromium in the light shielding film, and containing at least one metallic element selected from indium, tin, and molybdenum.

6 citations


Patent
08 Jun 2015
TL;DR: In this paper, a phase shift mask is constructed by forming a second mask with a predetermined aperture pattern in such a way that: a light-blocking layer (13) exposed on a surface and pattern apertures is covered; and an etching stopper layer (12) that is not exposed on the pattern aperture is not covered in light blocking regions and are covered in phase shift regions.
Abstract: A method for producing a phase shift mask includes a step of forming a second mask (RP2) having a predetermined aperture pattern in such a manner that: a light-blocking layer (13) exposed on a surface and pattern apertures is covered; and an etching stopper layer (12) and a phase shift layer (11) that are exposed on the pattern apertures are not covered in light-blocking regions and are covered in phase-shift regions.

6 citations


Journal ArticleDOI
01 Sep 2015
TL;DR: In this article, the authors describe the engine that empowered semiconductor industry to reduce the minimum feature size of the components of a microchip from some 50 microns in the 1960s to below 14 nanometers today.
Abstract: Photolithography is the engine that empowered semiconductor industry to reduce the minimum feature size of the components of a microchip from some 50 microns in the 1960s to below 14 nanometers today. Diffractive and refractive micro-optical components play a decisive role in modern photolithography systems, e. g. for laser line width narrowing, laser beam shaping (customized illumination), as phase-shift masks (PSM), for optical proximity correction (OPC), and for diffraction-based overlay (DBO). Wafer-based manufacturing of high-quality micro-optics and their importance for photolithography will be explained.

5 citations


Proceedings ArticleDOI
09 Jul 2015
TL;DR: In this paper, the phase shift mask's (PSM) material for the next generation ArF lithography was developed and evaluated and the developed new PSM shows good lithographic performance in wafer and high ArF excimer laser durability.
Abstract: The retardation of the development of NGL techniques causes the extension of ArF immersion lithography for 1x-nm node. We have been researching the new phase shift mask's (PSM) material for the next generation ArF lithography. In this reports, we developed the low-k, high transmission PSM and evaluate it. The developed new PSM shows good lithographic performance in wafer and high ArF excimer laser durability. The mask processability were confirmed such as the CD performance, the cross section image, the inspection sensitivity and repair accuracy.

4 citations


Patent
29 Jan 2015
TL;DR: In this paper, a phase shift mask blank with three kinds of layers, namely, a transparent substrate, a semitransparent layer, an intermediate layer and a light blocking layer, is presented.
Abstract: This mask blank has: a transparent substrate; a semitransparent layer formed on the transparent substrate; an intermediate layer formed on the semitransparent layer; and a light blocking layer formed on the intermediate layer. The light blocking layer is configured from a single metal material not containing a transition metal, the film thickness of the light blocking layer is equal to or less than 40 nm, and the optical concentration of a laminated body with respect to exposure light is equal to or higher than a value at which the laminated body functions as a light blocking region, said laminated body having laminated therein three kinds of layers, i.e., the semitransparent layer, intermediate layer, and light blocking layer. Consequently, the present invention provides the mask blank to be used for the purpose of manufacturing a half tone-type phase shift mask, which has high light blocking performance even if the thickness of a light blocking pattern film is reduced, and which also has a reduced EMF bias value, and excellent pattern workability, light resistance and chemical resistance, said phase shift mask being suitable for a lithography technology having a half pitch of 40 nm or more on a wafer.

4 citations


Proceedings ArticleDOI
TL;DR: In this article, an experimental analysis of light propagation through mask is presented in detail, which includes information on intensity and phase, and the characterization technique allows plotting the evolution of the desired light field and therefore printable structure till the desired proximity gap.
Abstract: The proximity printing industry is in real need of high resolution results and it can be done using Phase Shift Mask (PSM) or by applying Optical Proximity Correction (OPC). In our research we are trying to find out details of how light fields behind the structures of photo masks develop in order to determine the best conditions and designs for proximity printing. We focus here on parameters that are used in real situation with gaps up to 50 μm and structure sizes down to 2 μm. The light field evolution behind the structures is studied and delivers insight in to precisions and tolerances that need to be respected. It is the first time that an experimental analysis of light propagation through mask is presented in detail, which includes information on intensity and phase. The instrument we use is known as High Resolution Interference Microscopy (HRIM). HRIM is a Mach-Zehnder interferometer which is capable of recording three dimensional distributions of intensity and phase with diffraction limited resolution. Our characterization technique allows plotting the evolution of the desired light field and therefore printable structure till the desired proximity gap. In this paper we discuss in detail the evolution of intensity and phase fields of elbow or corner structure at different position behind a phase mask and interpret the main parameters. Of particular interest are tolerances against proximity gap variation and the resolution in printed structures.

Patent
18 Nov 2015
TL;DR: In this article, a genetic algorithm based optimization method for a photo-etching attenuation type mask is proposed, where the illumination mode and state adopted by the projection photoetching system are cooperatively considered, and a phase difference of light, in different incident directions, in bright and dark regions of a mask pattern is balanced by utilizing an optimization algorithm, so that under a specific illumination condition, the space image contrast of the projection image is highest and the acquisition of longitudinal structural parameters of the mask with the best imaging quality is realized.
Abstract: A genetic algorithm based optimization method for a photoetching attenuation type mask belongs to the field of attenuation type mask optimization methods and solves the technical problem of no specific optimization method for an attenuation type phase shift mask structure in view of an illumination mode adopted by a system in the prior art. The optimization method is based on a genetic algorithm; space image contrast, multilayer film reflectivity and the like of the mask are used to form a fitness function; the illumination mode and state adopted by the projection photoetching system are cooperatively considered; and a phase difference of light, in different incident directions, in bright and dark regions of a mask pattern is balanced by utilizing an optimization algorithm, so that under a specific illumination condition, the space image contrast of the projection photoetching system is highest and the acquisition of longitudinal structural parameters of the attenuation type mask with the best imaging quality is realized.

Patent
16 Dec 2015
TL;DR: In this paper, a mask blank has a structure in which, on a transparent substrate, a phase shift film, a light shielding film, and a hard mask film are laminated in the stated order from the transparent substrate side.
Abstract: A mask blank having a structure in which, on a transparent substrate, a phase shift film, a light shielding film, and a hard mask film are laminated in the stated order from the transparent substrate side. The phase shift film is formed of a material containing silicon, the hard mask film is formed of a material containing at least one element selected from silicon and tantalum, and the light shielding film is formed of a material containing chromium. The mask blank has a structure in which the following three layers: a lower layer, an intermediate layer, and an upper layer are laminated, the upper layer having a highest content of chromium in the light shielding film, the intermediate layer having a lowest content of chromium in the light shielding film, and containing at least one metallic element selected from indium, tin, and molybdenum.

Patent
26 Aug 2015
TL;DR: In this paper, the topmost layer configuring a multistage region of a phase shift layer is made to have a higher oxygen content than the lower layers by reducing reflectivity.
Abstract: The topmost layer configuring a multistage region of a phase shift layer is made to have a higher oxygen content than the lower layers. By this means, the reflectivity is reduced of the topmost layer, where the exposure light is incident. Thereby, the light reflected by the phase shift mask is reduced, preventing the pattern forming accuracy from decreasing due to reflected light, making it possible to form fine and highly accurate patterns.

Patent
02 Apr 2015
TL;DR: In this paper, a mask blank for use in manufacturing a half-tone type phase shift mask to be exposed to ArF excimer laser irradiation is presented, which includes a transparent substrate and a semi light transmitting film formed of SiON(x and y satisfy: 0
Abstract: PROBLEM TO BE SOLVED: To provide a photolithography having excellent transcript properties, with improved resistance to exposure to ArF excimer laser irradiation and improved resistance to washing.SOLUTION: A mask blank for use in manufacturing a half-tone type phase shift mask to be exposed to ArF excimer laser irradiation includes a transparent substrate and a semi light transmitting film formed of SiON(x and y satisfy: 0

Patent
09 Sep 2015
TL;DR: A phase shift mask as discussed by the authors consists of a substrate, a second phase shift pattern on the substrate, the second pattern extending to an outermost perimeter of the substrate and the second phase mask being formed of a material that is semi-transmissive to light of a first wavelength.
Abstract: A phase shift mask includes a substrate, a second phase shift pattern on the substrate, the second phase shift pattern extending to an outermost perimeter of the substrate, the second phase shift pattern being formed of a material that is semi-transmissive to light of a first wavelength and the substrate being substantially transparent to the light of the first wavelength such that the mask transmits about 2 to about 10% of the light of the first wavelength at the second phase shift pattern, and a first phase shift pattern on the substrate, the second phase shift pattern being disposed between the outermost perimeter of the substrate and the first phase shift pattern.

Proceedings ArticleDOI
TL;DR: The effect of dose slope on CD variation in negative tone develop LELE process is described, which becomes even more challenging with standalone NTD developer process due to q-time driven CD variation.
Abstract: Immersion based 20nm technology node and below becoming very challenging to chip designers, process and integration due to multiple patterning to integrate one design layer . Negative tone development (NTD) processes have been well accepted by industry experts for enabling technologies 20 nm and below. 193i double patterning is the technology solution for pitch down to 80 nm. This imposes tight control in critical dimension(CD) variation in double patterning where design patterns are decomposed in two different masks such as in litho-etch-litho etch (LELE). CD bimodality has been widely studied in LELE double patterning. A portion of CD tolerance budget is significantly consumed by variations in CD in double patterning. The objective of this work is to study the process variation challenges and resolution in the Negative Tone Develop Process for 20 nm and Below Technology Node. This paper describes the effect of dose slope on CD variation in negative tone develop LELE process. This effect becomes even more challenging with standalone NTD developer process due to q-time driven CD variation. We studied impact of different stacks with combination of binary and attenuated phase shift mask and estimated dose slope contribution individually from stack and mask type. Mask 3D simulation was carried out to understand theoretical aspect. In order to meet the minimum insulator requirement for the worst case on wafer the overlay and critical dimension uniformity (CDU) budget margins have slimmed. Besides the litho process and tool control using enhanced metrology feedback, the variation control has other dependencies too. Color balancing between the two masks in LELE is helpful in countering effects such as iso-dense bias, and pattern shifting. Dummy insertion and the improved decomposition techniques [2] using multiple lower priority constraints can help to a great extent. Innovative color aware routing techniques [3] can also help with achieving more uniform density and color balanced layouts.

Patent
29 Jan 2015
TL;DR: In this article, a mask blank consisting of a transparent substrate, a half-transparent layer for controlling a phase and a transmittance of the exposure light, formed on the transparent substrate and a middle layer for light-shielding.
Abstract: The present invention provides a mask blank including: a transparent substrate, a half-transparent layer for controlling a phase and a transmittance of the exposure light, formed on the transparent substrate, a middle layer formed on the half-transparent layer, and a light-shielding layer formed on the middle layer, wherein the light-shielding layer is constituted with a single metal material not including a transition metal; a film thickness of the light-shielding layer is 40 nm or less; and an optical density of a laminated body, in which three kinds of layers: the half-transparent layer, the middle layer, and the light-shielding layer are laminated, with respect to the exposure light is a value to the extent that the laminated body functions as a light-shielding region or more; the mask blank is used for producing a half tone type phase shift mask, and suitable for a lithography technique on a wafer from 40 nm half pitch and on for its high light-shielding property even thinning the light-shielding pattern film, capability of decreasing the value of EMF bias, and excellency in pattern processability, light-shielding property and chemical resistance.

Patent
16 Apr 2015
TL;DR: In this paper, a mask blanks were used for manufacturing a half-tone type phase shift mask for using an ArF excimer laser exposing light, where the mask blank consisted of a transparent substrate, and a light semi-transmissive film formed on the transparent substrate and made of Si and N only, or a light semisimplicits made of O and Si, N, and O only.
Abstract: The present invention provides a mask blanks used for manufacturing a half-tone type phase shift mask for using an ArF excimer laser exposing light, wherein the mask blanks comprises a transparent substrate, and a light semi-transmissive film formed on the transparent substrate and made of Si and N only, or a light semi-transmissive film formed on the transparent substrate and made of Si, N, and O only, wherein the light semi-transmissive film has an extinction coefficient to the wavelength of the ArF excimer laser exposing light within the range of 0.2 to 0.45, a refractive index to the wavelength of the ArF excimer laser exposing light within the range of 2.3 to 2.7, and a light transmittance to the wavelength of the ArF excimer laser exposing light within the range of 15% to 38%, and wherein the film thickness is within the range of 57 nm to 67 nm.

Patent
04 Jun 2015
TL;DR: The phase shift mask blank as mentioned in this paper consists of a monolayer or a multilayer film having at least one layer of a metal silicide material layer containing a metal, silicon, and at least 1 element of nitrogen and/or oxygen.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask blank for manufacturing a display device, which includes a phase shift film showing optical characteristics having suppressed wavelength dependency with respect to exposure light.SOLUTION: A phase shift mask blank 1 includes a transparent substrate 2 and a phase shift film 3 formed on the transparent substrate 2. The phase shift film 3 comprises a monolayer film or a multilayer film having at least one layer of a metal silicide material layer containing a metal, silicon, and at least one element of nitrogen and/or oxygen. The phase shift film 3 shows a transmittance of 3.5% or more and 8% or less at a wavelength of 365 nm, a phase difference of 160 degrees or more and 200 degrees or less at a wavelength of 365 nm, and within 5.5% of a variation of the transmittance depending on wavelength in a wavelength range from 365 nm to 436 nm.

Journal ArticleDOI
TL;DR: Naulleau et al. as mentioned in this paper proposed a checkerboard strong phase shift mask for extreme ultraviolet lithography, which has the potential to increase optical efficiency through a 4× increase in optical efficiency.
Abstract: Author(s): Naulleau, P; Anderson, C; Chao, W; Goldberg, K; Wojdyla, A; Bhattarai, S; Neureuther, A; Goodwin, F; Neisser, M | Abstract: With the slipping of the insertion node for extreme ultraviolet lithography, demands on resist resolution have increased further stressing sensitivity requirements. A variety of resists, both chemically amplified and not, have been developed meeting resolution needs, but falling short on sensitivity and line-width roughness (LWR). Note that resolution is an absolute mandatory requirement, the true tradeoff that must be considered is between sensitivity and contact hole printing is a crucial application for extreme ultraviolet lithography and is particularly challenged by resist sensitivity due to inherent inefficiencies in darkfield contact printing. Checkerboard strong phase shift masks have the potential to alleviate this problem through a 4× increase in optical efficiency. The feasibility of this method is demonstrated using the SEMATECH-Berkeley Microfield Exposure Tool pseudo phase shift mask configuration and preliminary results are provided on the fabrication of an etched multilayer checkerboard phase shift mask.

Patent
Chun-Lang Chen1, Tzung-Shiun Liu1
29 Jun 2015
TL;DR: A phase shift mask blank includes a transparent substrate, a phase shift layer, a first hard mask layer and an opaque layer as discussed by the authors, and the opaque layer has an etching selectivity with respect to the first mask layer.
Abstract: A phase shift mask blank includes a transparent substrate, a phase shift layer, a first hard mask layer and an opaque layer. The transparent substrate is disposed on the transparent substrate. The first hard mask layer is disposed on the phase shift layer. The phase shift layer has an etching selectivity with respect to the first hard mask layer. The opaque layer is disposed on the first hard mask layer.

Patent
03 Apr 2015
TL;DR: In this paper, a mask blank suitable for fabricating a phase shift mask having a thin film pattern composed of a material enabling dry etching with a fluorine-based gas and a substrate-engraved pattern was presented.
Abstract: A mask blank suitable for fabricating a phase shift mask having a thin film pattern composed of a material enabling dry etching with a fluorine-based gas and a substrate-engraved pattern. The mask blank 100 is used to fabricate a phase shift mask having a thin film pattern and a substrate-engraved pattern. The mask blank 100 has a structure in which an etching stopper film 2, a thin film for pattern formation 3 and an etching mask film 4 are laminated in this order on a transparent substrate 1. The etching stopper film 2 is made of a material that contains chromium and oxygen and the oxygen content thereof is more than 50 at %. The thin film 3 is made of a material that can be dry-etched by a fluorine-based gas. The etching mask film 4 is made of a material that contains chromium, the chromium content thereof is not less than 45 at %, and the oxygen content thereof is not more than 30 at %.

Patent
16 Jan 2015
TL;DR: In this paper, a method for manufacturing a phase shift mask with a light shading pattern and a phase-shift mask on a transparent substrate was presented. But the method was not suitable for the case of the phase shift pattern.
Abstract: To increase side etching amount.SOLUTION: There is provided a method for manufacturing a phase shift mask M having a phase shift layer 11 formed on a transparent substrate S and a light shading layer 13 mainly containing Cr, in which a light shading pattern 13a is positioned with retraction with respect to a line width of a phase shift pattern 11a by a plane view, having: a process for forming the phase shift layer and the light shading layer on a transparent substrate; a process for forming a mask PR1 having a prescribed opening pattern on the light shading layer on the light shading layer; a process for forming the light shading pattern by etching the light shading layer over the mask; and a process for forming the phase shift pattern by wet etching of the phase shift layer over the mask and sandwiching the light shading layer, and having a filming atmosphere containing nitrogen as a filming gas while the light shading layer is filmed.SELECTED DRAWING: Figure 1

Patent
19 Aug 2015
TL;DR: In this article, phase shift layers (11b, 11c, 11d) are formed on a transparent substrate (S) in multiple stages by setting the flow rate ratio of an oxidizing gas in an ambient gas.
Abstract: In a phase shift layer forming step, by setting the flow rate ratio of an oxidizing gas in an ambient gas, phase shift layers (11b, 11c, 11d) are formed on a transparent substrate (S) in multiple stages. Further, in a phase shift pattern forming step, the phase shift layers are weight-etched to form a multistage region (B1bh, B1bi) in which the change in thickness in the phase shift layer is configured in multiple stages.

Patent
05 Nov 2015
TL;DR: In this article, the authors proposed a mask blank for half-tone phase shift mask, capable of patterning a light-blocking film comprising a material that contains chrome, with improved form accuracy and without causing pattern collapse.
Abstract: PROBLEM TO BE SOLVED: To provide a mask blank for half-tone phase shift mask, capable of patterning a light-blocking film comprising a material that contains chrome, with improved form accuracy and without causing pattern collapse.SOLUTION: A mask blank has a structure obtained by stacking, on a light-transmitting substrate, a phase shift film, a light-blocking film and a hard mask film, in that order from the light-transmitting substrate side. The phase shift film is formed from a material that contains silicon. The hard mask film is formed from a material including at least one or more elements selected from silicon and tantalum. The light-blocking film is formed from a material including chrome, and has a structure obtained by stacking three layers, namely a lower layer, an intermediate layer, and an upper layer. The upper layer has the highest chrome content in the light-blocking film, in which the chrome content is 60 atom% or larger. The intermediate layer has the chrome content of 50 atom% or lower and has at least one or more metal elements selected from indium, tin, and molybdenum. The lower layer has the chrome content of 55 atom% or lower.

Patent
01 Oct 2015
TL;DR: In this paper, a mask blank has a structure in which, on a transparent substrate, a phase shift film, a light shielding film, and a hard mask film are laminated in the stated order from the transparent substrate side.
Abstract: A mask blank having a structure in which, on a transparent substrate, a phase shift film, a light shielding film, and a hard mask film are laminated in the stated order from the transparent substrate side. The phase shift film is formed of a material containing silicon, the hard mask film is formed of a material containing at least one element selected from silicon and tantalum, and the light shielding film is formed of a material containing chromium. The mask blank has a structure in which the following three layers: a lower layer, an intermediate layer, and an upper layer are laminated, the upper layer having a highest content of chromium in the light shielding film, the intermediate layer having a lowest content of chromium in the light shielding film, and containing at least one metallic element selected from indium, tin, and molybdenum.

Patent
30 Jan 2015
TL;DR: In this paper, a phase shift mask is used to shift the phase of a transmitted light and output the light of the transmitted light in order to improve the resolution, viewing angle and diffraction efficiency of a spatial light modulator.
Abstract: A digital holographic image display device according to the present invention can display a high quality three-dimensional image by improving resolution, viewing angle and diffraction efficiency of a spatial light modulator without reducing the intensity of the total light passing the spatial light modulator. A digital holographic image display device using a phase shift mask according to the present invention includes: a light source; a spatial light modulator which has a number of pixels arranged in a grid, and modulates intensity of a light from the light source spatially according to each pixel and then outputs the modulated light; and a phase shift mask which is comprised on the spatial light modulator, and shifts the phase of a transmitted light and outputs the light thereof.

Patent
31 Mar 2015
TL;DR: In this paper, a half-tone phase shift mask blank is provided with a half tone phase shift film which is thinner and so favorable for processing a photomask pattern, is small in pattern dimension variation degradation to irradiation of light having a wave length of 200 nm or less.
Abstract: SOLUTION: There is provided a half tone phase shift mask blank having a half tone phase shift film that is formed on a transparent substrate, is composed of a silicon-based material which contains silicone and nitrogen as essential constituents and may contain oxygen as an arbitrary constituent where the content of total of silicon, nitrogen and oxygen is 90 atom% or more, the content of silicon is 30-70 atom%, the content of total of nitrogen and oxygen is 30-60 atom%, the content of oxygen is 30 atom% or less, and the content of a transition metal is 1 atom% or less, and has a film thickness of 70 nm or less.EFFECT: The half tone phase shift mask blank is provided with a half tone phase shift film which is thinner and so favorable for processing a photomask pattern, is small in pattern dimension variation degradation to irradiation of light having a wave length of 200 nm or less, and secures a phase difference necessary for a phase shift film and a transmittance necessary for a half tone mask.SELECTED DRAWING: Figure 1

Patent
12 Nov 2015
TL;DR: In this paper, the phase shift mask was proposed to suppress film reduction in a developed resist layer corresponding to a phase shift region when the resist layer is exposed by using exposure light with mixed wavelengths including i-line, h-line and g-line.
Abstract: PROBLEM TO BE SOLVED: To provide a phase shift mask and the like, which can suppress film reduction in a developed resist layer corresponding to a phase shift region when the resist layer is exposed by using exposure light with mixed wavelengths including i-line, h-line and g-line.SOLUTION: The phase shift mask comprises a transparent substrate and a phase shift film formed on the transparent substrate and includes a transmission region where the transparent substrate is exposed and a phase shift region where the phase shift film is disposed. The phase shift region reverses a phase of g-line transmitted through the phase shift region. The transmittance of the phase shift region to i-line is equal to or lower than a transmittance corresponding to an energy threshold for photosensitivity of the resist layer to i-line; and the transmittance to g-line is higher than the transmittance for i-line.